自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Blog Life

任何值得去的地方都没有捷径

  • 博客(83)
  • 资源 (101)
  • 收藏
  • 关注

原创 汇编语言之Debug教程篇

参考书籍《汇编语言》——王爽Debug的命令比较多,这里我介绍常用的命令,其余的等需要的时候再进行查询。 命令 用途 Debug-R 查看、改变CPU寄存器的内容 Debug-D 查看内存中的内容 Debug-E 改写内存中的内容 Debug-U 将内存中的机器指令翻译成汇编指令 Debug-T 执行一条机器指令...

2018-04-11 11:41:49 39441 6

原创 电路时序波形绘制方法汇总

绘制电路时序波形的方法不同,各有优缺点。因人而异,但用起来舒服,快捷就是最好的方法,追求高效性。电路时序波形绘制方法1. Visio2. Timegen3. WaveDrom1. Visio对于熟练使用Visio的人来说,绘制时序波形和一般的框图没什么区别,这里提供几个模板。强迫症患者使用Visio无疑是最合适的,自己随意设计。百度网盘:https://pan.baidu.com/s/1w9CfY5YRF8yi-hcQzn5_-A提取码: 6rj52. Timegen官网:http:/.

2021-08-16 19:23:21 11211 2

原创 Simple UVM Testbench

在线写Verilog/SystemVerilog,在线仿真结果文档

2021-06-25 18:14:14 274

原创 可编程逻辑设计架构的形象化显示设计套件

1. Microsoft Visiohttps://www.microsoft.com/en-gb/microsoft-365/visio/flowchart-software2. draw.iohttps://app.diagrams.net/3. yEDhttps://www.yworks.com/products/yed4. Diahttp://dia-installer.de/5. OmniGrafflehttps://www.omnigroup.com/omnigraffle6

2021-05-26 08:53:05 316 2

原创 数字电路算术硬件的在线模拟器

挺有意思的工具,感兴趣可以玩玩官方网址:http://www.ecs.umass.edu/ece/koren/arith/simulator/使用实例:

2021-05-14 18:38:00 481

原创 DC

DC流程图一、 Develop HDL Files完成RTL级代码实现二、 Specify Libraries指定搜索路径search_path库文件target_library, link_library, symbol_library, synthetic_library三、 Read Designanalyze + elaborate允许设计者在设计的GTECH建立之前,首先分析设计的语法错误和进行HDL代码转换。analyze做语法检查,产生“.syn”文件存储在work

2021-03-18 20:46:48 905

原创 VCS代码覆盖率统计

VCS代码覆盖率1. VCS coverage off/on2.synopsys translate_off/on1. VCS coverage off/on告诉VCS对此段代码进行仿真,但不统计覆盖率。// VCS coverage offinitial begin $display("hello, verilog!");end// VCS coverage on2.synopsys translate_off/on告诉DC对此段代码不做综合,仿真工具可以进行仿真,但不统计代码覆

2020-12-04 12:37:21 1507

原创 Kogge-Stone 树形加法器

Kogge-Stone 树形加法器1. Kogge-Stone2. 超前进位加法器3. Koggle-Stone 并行算法4. 树形结构1. Kogge-StoneKogge-Stone 加法器是利用 Peter M. Kogge 和 Harold S.Stone 于 1972 年提出的一种并行算法生成的一种树形加法器。此种加法器在树形加法器中,具有逻辑层数低和较低的扇入扇出的特点,美中不足的是布线拥塞度高。2. 超前进位加法器(1)超前进位加法器Si=pi⊕Ci−1S_i=p_i \oplu

2020-08-05 11:50:59 5008 3

原创 文献综述搜索利器——HistCite

HistCite1. LCR2. GCS3. LCS4. CR5. Historiograph Compilation HistCite Guide1. LCRLocal Cited References is the number of references citing local papers. By clicking on “LCR”,you can sort the collection by this score. By clicking on the LCR number, you can

2020-08-03 22:24:14 1561

原创 DC启动文件说明.sysnopsys_dc.setup

DC上默认启动文件位于Synopsys安装目录中,随着工具的启动而自动加载。这些默认文件不包含设计相关的数据,功能是加载Synopsys与工艺库无关的库和其他函数,用户可在启动文件中指定与设计相关的数据。启动文件所在目录有三处:1.Synopsys安装目录;2.用户主目录;3.项目工作目录.Synopsys安装目录,$synopsys/admin/setup(default)用户主目录,~user(user’s general)项目工作目录.他们之间存在优先级:项目..

2020-08-02 16:46:55 1399

原创 DC 学习日志(1)

DC 学习记录 11. all_inpouts2. all_outputs3. all_registers4. all_clocks5. get_ports6. remove_from_collection7. sizeof_collection8. index_collection8. foreach_in_collection9. help * collection *10. man remove_from_collection11. list12. 系统环境变量1. all_inpouts

2020-07-14 15:48:09 490

原创 Synopsys系列软件License制作——亲测可用

Synopsys系列软件License制作1. synopsys-license产生文件(1)文件获取(2) 文件EFA LicGen 0.4b(3)文件 Synopsys SSS Feature Keygen2. 生成license3. 测试1. synopsys-license产生文件(1)文件获取synopsys-license链接: https://pan.baidu.com/s/147xXq4p1y1CzGS3rQQQbPg提取码: 74u4ps:也可去本人主页资源中下载,0积分。

2020-07-06 15:38:33 20687 9

原创 Verilog HDL中的任务和函数比较

两者均不可综合,常用于测试 任务和函数 比较点 任务 函数 输入输出 可以有任意多个输入输出 至少一输入不能有输出和双向端口 触发事件控制 任务不能出现always语句;可以包含延时控制语句(#),但只能面向仿真,不能综合 函数中不能出现always,#这样的语句,要保证函数执行

2020-06-14 15:01:52 661 2

原创 论文参考文献

参考文献1. 文献类型简称2. 参考文献的格式1. 文献类型简称书籍类文献 书籍类文献 简称 格式 [M] 代表专著,英文全称Master [D] 代表学位论文,英文全称Dissertations [R] 代表研究报告,英

2020-05-19 00:26:57 2676 1

原创 集成电路领域核心会议与期刊

会议 简称 中文 英文 ISSCC 国际固态电路会议 IEEE International Solid-State Circuits Conference IEDM 国际电子器件会议 IEEE International Electron Devices Meeting ...

2020-05-18 16:40:48 4170

原创 The effect of LUT and cluster size on deep-submicron FPGA performance and density

The effect of LUT and cluster size on deep-submicron FPGA performance and density1. 论文基本信息2. 论文研究背景3. 论文研究目标4. 论文主要思路及创新点5. 论文中部分图片6. 论文研究结果7. 论文尚未解决问题1. 论文基本信息2. 论文研究背景FPGA 中含有大量的逻辑块和路由资源等,增加 LU...

2020-04-30 23:56:47 334

原创 Latex 给表格项添加注释

这里需要用到package”threeparttable“实例结果:源代码:\documentclass[12pt]{amsart}\usepackage{geometry} \geometry{a4paper}\usepackage{ctex}\usepackage{threeparttable}\begin{document}\begin{table} \cent...

2020-04-22 21:44:30 2457

原创 Sublime Text3配置LaTeX环境及使用Sumatra PDF作为阅读器——亲测可用

Sublime Text3配置LaTeX环境及使用Sumatra PDF作为阅读器1. 安装LaTeX2. 安装Sublime Text3. 安装Sumatra PDF4. Sublime Text环境配置5. Sublime进行LaTeX编译,配置反向搜索功能6. 测试示例7. 常见问题博主一贯采用Texstudio结合texlive进行LaTeX编写,编译,但无奈最近Texstudio总是会...

2020-04-12 18:36:25 3915

原创 计算机中常见的单位换算

单位换算 单位换算 中文单位 中文简称 英文单位 英文简称 换算(以Byte为参考源) 位 比特 bit b 0.125 字节 ...

2020-04-05 23:21:34 984

原创 Intel/Altera系列FPGA芯片命名标准

Intel/Altera系列FPGA芯片命名标准1. Intel Agilex (F and I) Series2. Intel Stratix 10 (GX, SX, TX) Devices3. Intel Stratix 10 (MX) Devices4. Intel Stratix 10 (DX) Devices5. Intel Arria 10 (GX, SX, GT) Devices6....

2020-04-05 15:27:22 4413

原创 Quartus18.1自带仿真工具实现仿真

Quartus18.1自带仿真工具实现仿真1. 软件版本2. 设计流程2.1 源程序代码2.2 新建仿真波形文件1. 软件版本win10 下使用“Quartus (Quartus Prime 18.1) Standard Edition”版本测试,其他版本均可。2. 设计流程2.1 源程序代码此处忽略…放上部分截图,建议先用简单项目进行测试2.2 新建仿真波形文件File—Ne...

2020-04-02 14:29:16 10564 22

原创 Quartus 添加器件库方法

Quartus 添加器件库方法一. 情况说明二. 器件库下载二. 器件库安装一. 情况说明因为项目需要用到Quartus软件,特地去官网下载了“Intel Quartus Prime Professional Edition 18.0 v18.0.0.219”,软件安装好后新建项目时却弹出一下界面:稍微留意一下,发现原来是只安装了软件,并没有下载配套的器件库,说白了就是没有可用的芯片系列模...

2020-03-30 02:15:27 23038

原创 从Vivado启动ModelSim时遇到的问题([USF-modelsim-8] Failed to find the pre-compiled simulation library!)

从Vivado启动ModelSim时遇到的问题1. 严重警告2. 原因3. 处理方法4. 仿真实例1. 严重警告警告:无法找到预编译仿真库2. 原因打开报错的地方根据警告提示打开文件后,文件是空的,明显没有预编译仿真库。那就只有重新编译了3. 处理方法在modelsim安装路径下新建一个文件vivado_lib(其他地方也行,随意)在vivado中选择重新编译文件Tool—...

2020-03-26 16:48:16 7634 3

原创 LaTeX图片插入

LaTeX图片插入1. 样板2. 文档源代码3.编译后的结果4. 代码解释5. 引用1. 样板2. 文档源代码\documentclass{article} \usepackage{ctex}\usepackage{graphicx}\usepackage{caption2}\usepackage{subfigure}\usepackage{float}\title{西部世界}...

2020-03-22 15:51:49 558

原创 Xilinx DDS IP核调用(2)

Xilinx DDS IP核调用1. Xilinx IP核调用流程2. Xilinx DDS IP核例化2.1 例化SIN COS LUT2.11 实例12.2 例化Phase Generator only2.21 实例一2.21 实例二2.3 例化Phase Generator and SIN COS LUT1. Xilinx IP核调用流程step 1 找到IP Catalog, 也就是...

2020-03-21 15:40:23 2471

原创 Xilinx DDS IP核调用(1)

文章目录1. DDS工作原理1.1 DDS介绍1.2 DDS原理2. Xilinx DDS IP核实现功能1. SIN COS LUT only2. Phase Generator only3. Phase Generator and SIN COS LUT3. 后续4. Xilinx DDS Compiler资料1. DDS工作原理1.1 DDS介绍直接数字频率合成器(Direct D...

2020-03-20 16:54:44 3112 1

转载 转载《留守在家,如何提升和精进FPGA设计能力?》

工欲善其事,必先利其器,代码可综合设计能力、电路设计方法学、领域特定架构和算法理论,是提升和精进FPGA技能的必要基础。不需要FPGA开发板实物,也能够在家学习和夯实这些基础知识(ps:注意,如果长期学习FPGA,有一块FPGA开发板是非常必要的。本文章重点针对短期留守在家的同学。)。0、FPGA入门基础具备基本数字逻辑基础、基本VerilogHDL编写能力。此处推荐东南大学汤勇明老师编写的...

2020-03-17 00:03:17 1177

原创 (LaTex全部符号表示)The Comprehensive LATEX Symbol List

文章目录1. 介绍2. 文章目录3. 符号用法介绍4. 搬运工1. 介绍这是对LaTex中符号极为细致全面的描述。包含各种常见以及不常见的符号多达14283个。This document lists 14283 symbols and the corresponding LATEX commands that produce them.Some of these symbols are ...

2020-03-13 17:38:22 992

转载 一款不错的LaTeX Beamer模板(五)

1. Beamer模板样式每日分享全网Beamer模板资源2. 源代码%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% Based one the "beamer-greek-two" template provided...

2020-03-07 12:03:49 1943

转载 一款不错的LaTeX Beamer模板(四)

1. Beamer模板样式每日分享全网Beamer模板资源1. 源代码\documentclass{beamer}% Choose how your presentation looks.%% For more themes, color themes and font themes, see:% http://deic.uab.es/~iblanes/beamer_gal...

2020-03-06 17:32:38 2969

转载 一款不错的LaTeX Beamer模板(三)

1. Beamer模板样式每日分享全网Beamer模板资源1. 源代码部分代码如下\documentclass{beamer}\usepackage{pgfpages}%\setbeameroption{show notes}%\setbeameroption{show notes on second screen=right}\mode<presentation&...

2020-03-05 10:40:49 1399

转载 一款不错的LaTeX Beamer模板(二)

1. Beamer模板样式每日发布全网Beamer模板资源1. 源代码slide.tex\documentclass{beamer}\usetheme{Madrid}\title{About Machine Learning}\author{by Amit Swain}\centering\date{September 2019}\begin{document}\ma...

2020-03-04 16:17:39 2189

转载 一款不错的LaTeX Beamer模板

1. Beamer模板样式模板page1page2page3page4page5page6page7page8page9page10page11page12page13page14page15page16page171. 源代码\documentclass{beamer}\usetheme{Madrid}\tit...

2020-03-03 11:11:34 6002 2

转载 Verilog 中的参数化建模

什么是参数化建模和写软件程序一样,我们也希望 Verilog 的模块也可以重利用。要使模块可以重复利用,关键就在于避免硬编码(hard literal),使模块参数化。参数化建模的好处是可以使代码清晰,便于后续维护和修改。Verilog 的参数化建模是有一定限制的,它的参数值是编译时计算的,不会引入任何实际的硬件电路。参数必须在编译时确定值。也就是说只能达到动态编译,固态运行,而非软件的动态...

2020-03-02 20:51:43 2443 1

原创 实用工具Snipaste——论文阅读神器

1. Snipaste便捷粘贴工具。一款实用的便捷粘贴工具,可任意截图,对截图进行处理,将截图贴到屏幕上(重点),对于论文阅读者来说超实用,再也不用担心电脑屏幕不够了,同时还可以对贴到屏幕上的截图进行缩放,用起来超赞!!!2. Snipaste无需安装,打开即用。傻瓜式使用,双击Snipaste.exe便可使用。3. 下载链接:https://pan.baidu.com/s/1mb...

2020-02-29 21:15:14 769

原创 实用工具冰点文库

1. 冰点文库高效快捷解决文档下载问题。无需登录或者积分便可自由百度文库、豆丁网、道客巴巴、大桔灯等众多文库文档,真的超级方便!!!同时会将下载后的文档分成pdf和txt格式。2. 冰点文库操作简单,直接复制链接即可下载文档类型3. 下载链接: https://pan.baidu.com/s/1sBTdCi3JBNNGcw_z9H9kNA提取码: kwbk4. 免责声明...

2020-02-29 14:04:29 861

转载 一款不错的LaTeX作业封面模板(四)

1. 效果图2. 源代码\documentclass[12pt]{article}\usepackage[english]{babel}\usepackage[utf8x]{inputenc}\usepackage{amsmath}\usepackage{graphicx}\usepackage[colorinlistoftodos]{todonotes}\usepackage{...

2020-02-28 11:08:08 4487

原创 一款不错的LaTeX作业封面模板(三)

1. 效果图界面美观,包含信息齐全,适合于一般的作业封面。2. 源代码\documentclass[12pt]{article}\usepackage[a4paper, left=3.17cm, right=3.17cm, top=2.54cm, bottom=2.54cm]{geometry}\usepackage[T1]{fontenc}\usepackage{mathptmx}...

2020-02-26 21:16:48 14828 2

原创 一款不错的LaTeX作业模板(二)

PDF效果源代码获取链接: https://pan.baidu.com/s/1BBg0V3JuTegZ3Sg2DjsQtg提取码: fedh

2020-02-26 13:12:36 5760

原创 一款不错的LaTex作业模块

1. 直接上图作为作业的模板用起来还可以。2. 代码\documentclass[11pt]{article}\usepackage[margin=1in]{geometry}\usepackage{amsfonts,amsmath,amssymb}\usepackage[none]{hyphenat}\usepackage{fancyhdr}\usepackage{gra...

2020-02-25 16:25:59 6318

Tcl与Design Compiler 九综合后的形式验证.pdf

Tcl与Design Compiler 九综合后的形式验证.pdf

2020-12-28

Tcl与Design Compiler 二DC综合与Tcl语法结构概述.pdf

Tcl与Design Compiler 二DC综合与Tcl语法结构概述.pdf

2020-12-28

Tcl与Design Compiler 八DC的逻辑综合与优化下.pdf

Tcl与Design Compiler 八DC的逻辑综合与优化下.pdf

2020-12-28

Tcl与Design Compiler 八DC的逻辑综合与优化上.pdf

Tcl与Design Compiler 八DC的逻辑综合与优化上.pdf

2020-12-28

数字集成电路设计流程是怎样.pdf

数字集成电路设计流程是怎样.pdf

2020-08-04

EndNote教程.zip

EndNote教程.zip

2020-07-25

nLint教学指导案例.pdf

nLint教学指导案例.pdf;n

2020-04-19

tex_intro_talk_刘海洋.pdf

tex_intro_talk_刘海洋.pdf;t

2020-04-14

ISSCC_PPT_2020-33.zip

ISSCC_PPT_2020-33.zip

2020-03-04

ISSCC_PPT_2020-29.zip

ISSCC_PPT_2020-29.zip

2020-03-04

ISSCC_PPT_2020-27.zip

ISSCC_PPT_2020-27.zip

2020-03-04

ISSCC_PPT_2020-21.zip

ISSCC_PPT_2020-21.zip

2020-03-04

ISSCC_PPT_2020-17.zip

ISSCC_PPT_2020-17.zip

2020-03-04

ISSCC_PPT_2020-14.zip

ISSCC_PPT_2020-14.zip

2020-03-04

ISSCC_PPT_2020-08.zip

ISSCC_PPT_2020-08.zip

2020-03-04

ISSCC_PPT_2020-03.zip

ISSCC_PPT_2020-03.zip

2020-03-04

ISSCC_PPT_2020-01.zip

ISSCC_PPT_2020-01.zip

2020-03-04

ISSCC_PPT_2020-02:AMD.zip

ISSCC_PPT_2020-02:AMD.zip

2020-03-04

ISSCC_PPT_2020-28:SK海力士.zip

ISSCC_PPT_2020-28:SK海力士

2020-03-04

ISSCC_PPT_2020-16:亚诺德半导体.zip

ISSCC_PPT_2020-16:亚诺德半导体.zip

2020-03-04

Intel® Quartus® Prime Standard Edition Handbook Volume 3 Verification.pdf

Intel® Quartus® Prime Standard Edition Handbook Volume 3 Verification.pdf

2021-06-15

QuartusPrime StandardHandbookVolume2 Design Implementationand Optimization

Intel® Quartus® Prime Standard Edition Handbook Volume 2 Design Implementation and Optimization.pdf

2021-06-15

IC打工魂icc2013实验.zip

IC打工魂icc2013实验.zip;IC打工魂icc2013实验.zip;IC打工魂icc2013实验.zip;IC打工魂icc2013实验.zip;IC打工魂icc2013实验.zip

2021-05-21

dc2012实验资料.zip

dc2012实验资料.zip;dc2012实验资料.zip;dc2012实验资料.zip;dc2012实验资料.zip;dc2012实验资料.zip

2021-05-21

DC综合施加约束步骤.docx

DC的工作流程;DC的工作流程;DC的工作流程;DC的工作流程;DC的工作流程

2021-03-18

Verilog _ wire vs reg.pdf

Verilog _ wire vs reg 区别

2021-01-12

Verdi波形变颜色.pdf

Verdi波形变颜色.pdf

2021-01-05

Koggle-Stone.zip

Koggle-Stone加法器

2020-12-28

Han-Carlson.zip

Han-Carlson 加法器

2020-12-28

Brent-Kung.zip

16位Brent_Kung树形加法器

2020-12-28

数字设计中的时钟与约束 - IC_learner - 博客园.pdf

数字设计中的时钟与约束 - IC_learner - 博客园.pdf

2020-12-28

时序信息阅读—DC综合后处理.pdf

时序信息阅读—DC综合后处理.pdf

2020-12-28

Tcl与Design Compiler 一前言.pdf

Tcl与Design Compiler 一前言.pdf

2020-12-28

Tcl与Design Compiler 五综合库时序库和DC的设计对象上.pdf

Tcl与Design Compiler 五综合库时序库和DC的设计对象上.pdf

2020-12-28

Tcl与Design Compiler 四DC启动环境的设置.pdf

Tcl与Design Compiler 四DC启动环境的设置.pdf

2020-12-28

Tcl与Design Compiler 十其他的时序约束选项一.pdf

Tcl与Design Compiler 十其他的时序约束选项一.pdf

2020-12-28

Tcl与Design Compiler 十二综合后处理.pdf

Tcl与Design Compiler 十二综合后处理.pdf

2020-12-28

Tcl与Design Compiler 三DC综合的流程.pdf

Tcl与Design Compiler 三DC综合的流程.pdf

2020-12-28

Tcl与Design Compiler 七环境设计规则和面积约束.pdf

Tcl与Design Compiler 七环境设计规则和面积约束.pdf

2020-12-28

Tcl与Design Compiler 六基本的时序路径约束上.pdf

Tcl与Design Compiler 六基本的时序路径约束上.pdf

2020-12-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除