Window+VScode的chisel开发环境

1、安装VScode

        VScode下载安装过程简单,这里不做详细说明,请自行百度,VScode下载安装好,再去安装相应的插件sbt和chisel,如下图:

2、安装SBT和JAVA

2.1安装java

        a) 双击下载好的JDK安装文件 JDK-Windows-8  链接

        b) 点击后弹出JDK安装导向,我们直接点下一步

        c) 此时进入 选择JDK安装路径 页面。这里选择安装在D:\java\jdk 目录下(也可以直接使用默认路径),选择完成后点击下一步,此时会执行JDK的安装

      d) 加载完成弹出 JRE的安装目录选择 弹窗,该路径默认与JDK选择同一个目录,这里选择 D:\java\jre 。点击下一步,此时会执行JRE的安装

     e) 添加系统环境变量: 变量名 中输入 JAVA_HOME 变量值 中输入JDK的安装路径 D:\java\jdk,输入完成后点击确认按钮

        f) 系统变量 列表中找到 PATH 变量,添加 %JAVA_HOME%\bin ,点击确认即可。

        g) 安装之后验证一下是否成功:        java -version

有如下的打印信息即安装成功

java version "1.8.0_291"
Java(TM) SE Runtime Environment (build 1.8.0_291-b10)
Java HotSpot(TM) 64-Bit Server VM (build 25.291-b10, mixed mode)

2.2安装sbt

       SBT(Simple Build Tool)是SCALA 平台上标准的项目构建工具,Chisel(Constructing Hardware In a Scala Embedded Language)是一门以Scala为宿主语言开发的硬件构建语言。

        a) 下载

        下载链接:sbt - Download        (自行选择版本,建议使用最新版本进行下载安装)

        b) 运行sbt-1.x.x.msi安装

        点击下一步到安装成功,默认会添加系统环境变量;建议再添加用户环境变量,方便后面命令运行

        c) 安装之后验证一下是否成功:        sbt -version

 3、VScode新建工程

        HELLO_PRJ文件夹是工程文件夹

        src/main/scala文件夹存放scala源文件

        src/test/scala文件夹存放scala测试文件

        sbt基本的构建设置都放在HELLO_PRJ目录下的 build.sbt 文件中

        build.sbt

lazy val root = (project in file("."))
  .settings(
    name := "%NAME%",
    version := "1.0",
    scalaVersion := "2.12.2"
  )

        hello.scala

package hello_world

object hello extends App{
    println("Hello World!")
}

4、打开终端调试运行测试例程

        在终端里面输入sbt run命令运行

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值