自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(193)
  • 收藏
  • 关注

原创 perl——使用sprintf函数对变量n位补零

使用sprintf函数对变量n位补零。

2024-08-27 12:25:17 100

原创 perl——获取数组中元素的索引

【代码】perl——获取数组中元素的索引。

2024-08-22 15:38:24 305

原创 Innovus命令——gui_show_edge_number

通过gui_show_edge_number可以在GUI上show出block的edge number。其中design中block的名字可以去design browser查看。

2024-07-31 11:23:33 198

原创 Innoxus命令——gui_dim_foreground调节GUI界面亮度

gui_dim_foreground可以用来调节GUI界面亮度,辅助user debug issue。

2024-07-31 10:59:57 396

原创 Innovus命令——gui_attach_to_cursor

这个命令感觉还是很有用的,选中一个或多个对象后,执行命令,之后将鼠标移动到想要放置的位置,点击鼠标就能把选中的对象移动到这里。

2024-07-26 16:31:21 147

原创 Innovus——将design中的clock按照周期大小排列

先使用sort_collection将clock按照周期大小排列,然后按照排列好的顺序,分别去report这些clock的名称和周期值。

2024-07-23 17:08:58 428

原创 Linux Shell——用awk计算相邻两行相减

【代码】Linux Shell——用awk计算相邻两行相减。

2024-07-22 14:42:35 301

原创 Innovus/ICC2 GUI——高亮timing path

【代码】Innovus/ICC2 GUI——高亮timing path。

2024-07-19 13:43:17 519

原创 数字后端概念——FinFET/Nanosheet FET

解决以上问题的有效办法是将电源/接地线埋入基板,即BPR(Buried Power Rails)。利用BPR方法,可将Track数量减少至5T,此外,可以将信号排线数量保持为4T。

2024-03-25 15:13:57 553

原创 数字后端——DEF文件格式

像下图中这种方向和分号之间没有空格的情况,就是有问题的格式。

2024-02-29 14:09:28 1096

原创 Innovus——将get的结果按行打印

之前用的是foreach遍历结果,一个一个打印的方法,后来发现使用join ** \n更方便。

2024-01-10 17:11:38 742

原创 Innovus——get inst pin相连的net或get某条net相连的pin

【代码】Innovus——get inst pin相连的net或get某条net相连的pin。

2024-01-10 14:12:23 1127

原创 PT——get_attribute

【代码】PT——get_attribute。

2023-12-07 16:45:41 747

原创 PT——report_timing

【代码】PT——report_timing。

2023-12-07 16:40:27 656

原创 PT——get_cells *xx* -hier

【代码】PT——get_cells *xx* -hier。

2023-12-07 16:28:22 378

原创 PT——report transition on pins/nets

使用report_constraint -max_tran 来报告某些pin的transition情况,查看有无transition violation。

2023-11-16 10:45:24 598

原创 Innovus命令——report_route

report_route​命令可以用来报告出net的分布情况,在哪一层走了多远的距离,用到的VIA情况等。

2023-04-19 20:49:46 1002 1

原创 Linux shell——grep使用注意事项

如果是匹配的字符串中有特殊字符,需要加上\去转义,否则匹配不准确,比如下面的例子如果我直接用。

2023-03-21 21:54:59 988

原创 Innovus GUI——highlight selected

2023-03-20 21:53:32 659

原创 Innovus GUI——edit wire > stretch net

将选中的net进行调整,用stretch增加宽度,点击Stretch之后将鼠标放在net上,然后往期望的方向移动来加宽net,改完之后可以再选中net 按Q看一下net的宽度。

2023-03-17 11:03:17 1097

原创 Innovus/ICC2 GUI——跳转到选中的物体 zoom to selected

快捷键:ctrl + t。

2023-03-15 22:12:58 685

原创 Innovus——summaryReport

【代码】Innovus——summaryReport。

2023-03-14 20:40:10 564

原创 Innovus GUI——violation browser

在verifyc_drc之后可以使用violation browser来查看design中的drc。

2023-01-02 18:13:50 927 2

原创 Innovus——verify_drc

检查special net如power/ground/clock。

2023-01-02 18:01:12 1216

转载 Linux shell——grep命令输出匹配字符串的前后n个字符

【代码】Linux shell——grep命令输出匹配字符串的前后n个字符。

2022-12-30 14:32:32 4147

原创 GVIM 使用

gvim使用

2022-11-23 14:37:38 1044

原创 Innovus GUI——不显示inst name

在GUI上的设置 > Preferences > Text下可以设置Object Text Display, 取消勾选Instance即可。

2022-11-11 10:57:14 448

原创 Innovus GUI——show cell padding

放大一下GUI就可以看到加的padding,其中上下左右的padding用不同的颜色来表示。GUI界面上Place > Display > Display Cell padding.

2022-11-09 19:27:32 1266

原创 Innovus命令——clearDrc

作用:用来清除GUI上白色高亮的drc标记。命令:clearDrc。

2022-11-09 15:27:35 929

原创 report_timing几种不同path type的区别

report_timing几种不同path type的区别

2022-08-03 15:38:14 1465

转载 数字后端概念——soft/hard macro的区别

soft/hard macro的区别

2022-07-20 14:42:08 3991

原创 在PR tool/PT中使用alias定义长命令

alias rt "report_timing"可以把所有的alias写在一个脚本里,在PR tool里面source一下,也可以把命令直接敲在PR tool里面,就可以使用了。改过alias之后,log里面显示的就也是定义之后的“rt”,而不是原始的“report_timing”。...

2022-05-13 13:27:07 422 2

原创 CTS后插入的buf/inv有orientation violation怎么办?

如果出现violation的cell没有被fixed,可以使用refinePlace来解决。如果出现violation的cell已经被fixed,那么就选中inst,先解除其fixed状态。dbset selected.pstatus softfixed 在使用refinePlace,再将其状态恢复为fixed。...

2022-05-13 13:24:36 736

原创 JTAG接口

浅谈JTAG接口

2022-04-20 16:58:51 547

原创 ICC2命令——check_bufferability

The check_bufferability command checks net bufferability. Use this command to understand the following information about a net:What supply net is used for bufferingWhether single rail, dual rail, or insulated dual rail buffers are used for bufferingHow

2022-04-20 14:03:06 559

原创 低功耗实现——常用EDA工具中Multi-VT的实现方法

从Synopsys Multi-VT实现过程主要是在逻辑综合(Logic Synthesis)阶段。DC完成Multi-VT的实现,主要是在target_library中找出可以使用的所有逻辑单元,并在满足时序约束的情况下,使用最低leakage power的单元进行实现。其实现步骤可以如下:#读入不同VT的逻辑单元作为target library,当DC有充分的选择空间set_target_library {dbtcbn90lphdbwptc.db dbtcbn90lphdbwphvttc.db

2022-04-14 16:58:35 1729

原创 低功耗实现——常用EDA工具中Multi-Voltage的实现方法

我们知道,降低驱动电压VDD,是减小动态功耗最快的方法,因此在满足时序的情况下,适当降低驱动电压,可以有效的减小动态功耗。而设计中可以使用多驱动电压的设计方法,对于速度要求快的电路,供高一些的驱动电压,如1.4V,而速度要求不高的模块,则只需要供比较低的驱动电压,如1.0V。对于逻辑综合来说,DC中,首先需要对不同电压域的电路设置不同的operating_condition,综合工具就可以对该电压域电路进行初步分析和优化了。如果使用UPF,则可以直接使用load_upf,工具会根据UPF的描述自动寻找相应

2022-04-14 16:57:33 1224

原创 DFT——INTEST/EXTEST Scan Mode

INTEST/EXTEST SCAN 的学习

2022-04-14 14:15:23 1100

原创 SPI协议

SPI协议详解(图文并茂+超详细)

2022-04-11 21:05:55 459

原创 L2 Cache——CPU二级缓存

L2 Cache

2022-04-11 14:37:54 1614

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除