FIFO IP核学习笔记

1 FIFO简介

英文名称:First-in-first-out
功能:FIFO一般用于不同时钟域之间的数据传输(如下图所示),也常用于实现不同位宽接口的数据匹配。

在这里插入图片描述

2 使用Quartus软件创建FIFO ip核

2.1 创建一个空工程

在这里插入图片描述

2.2 打开 MegaWizard Plug-In Manager

在这里插入图片描述

2.3 创建一个空的IP核

在这里插入图片描述

2.4 创建FIFO

在这里插入图片描述

2.4 FIFO配置

2.4.1 位宽深度设置

在这里插入图片描述

2.4.2 亚稳态选择

在这里插入图片描述

2.4.3 标志位

在这里插入图片描述

2.4.4 请求模式

在这里插入图片描述

2.4.5 保护功能

在这里插入图片描述

2.5 EDA 配置

在这里插入图片描述

2.6 总结页面

在这里插入图片描述

2.7 创建成功

在这里插入图片描述

3 FIFO 编程应用

FIFO 例化模块

fifo	fifo_inst (
	.data ( data_sig ),       // 写入FIFO的数据
	.rdclk ( rdclk_sig ),     // 读时钟
	.rdreq ( rdreq_sig ),     // 读请求
	.wrclk ( wrclk_sig ),     // 写时钟
	.wrreq ( wrreq_sig ),     // 写请求
	.q ( q_sig ),             // 从FIFO输出的数据
	.rdempty ( rdempty_sig ), // 读空信号
	.rdfull ( rdfull_sig ),   // 读满信号
	.rdusedw ( rdusedw_sig ), // 读侧数据量
	.wrempty ( wrempty_sig ), // 写空信号
	.wrfull ( wrfull_sig ),   // 写满信号
	.wrusedw ( wrusedw_sig )  // 写侧数据量
	);
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

驽马同学

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值