工厂机制(注册,创建,覆盖)

本文详细介绍了UVM框架中的工厂机制,包括如何注册组件(uvm_component和uvm_object),创建实例(通过create方法),以及如何覆盖原有类型。在UVM层次结构中,覆盖的优先级遵循层次越高,覆盖优先级越高的原则。讨论了在同一层次下,不同位置的覆盖情况,以及两个覆盖冲突时的解决策略。
摘要由CSDN通过智能技术生成

类库地图:地址

注册

继承于 uvm_component ,比如环境组件类(environment component):使用 `uvm_component_utils(class_name) 进行注册。

继承于uvm_object,使用 `uvm_object_util(class_name) 进行注册。

创建

uvm_component 的组件类的new函数如下:

function new(string name="class_name", uvm_component parent=null);
  super.
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值