设计实例03-同步fifo设计

设计代码部分(采用count作为计数):

以下代码:

module sync_fifo
#(
  parameter DATA_WIDTH = 8, /*data width 8bit*/
  parameter ADDR_WIDTH = 3, /*addr width 3bit*/
  parameter RAM_DEEPTH = (1<<ADDR_WIDTH) /*ram save data num 2^3=8*/
)
(
  input rst_n,
  input clk,
  
  input wr_en,
  input [DATA_WIDTH-1:0] wdata,
  output full,

  input rd_en,
  output reg [DATA_WIDTH-1:0] rdata,
  output empty
);

  reg [ADDR_WIDTH-1:0] wr_addr;
  reg [ADDR_width-1:0] rd_addr;
  reg [DATA_WIDTH-1:0] fifo_ram[RAM_DEEPTH-1:0];
  reg [ADDR_WIDTH:0] count;

  integer i;
  /*write data to fifo_ram*/
  always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
      for(i=0;i<RAM_DEEPTH;i=i+1)
        fifo_ram[i] <= {
   D
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值