Verilog入门——Quartus2基础使用

一、新建工程
1、打开Quartus2
2、点击菜单栏中的“file”,选择“New Project Wizard"
在这里插入图片描述
3、点击Next
4、选择工程存储路径在这里插入图片描述
5、输入工程名字
在这里插入图片描述
6、点击Next
7、选择fpga类型和型号,根据自己的板子型号选择
在这里插入图片描述
8、一路Next,直到finish
在这里插入图片描述
9、到此一个新工程就创建完成了
10、新建一个Verilog HDL 文件
在这里插入图片描述
11、粘贴以下代码,主要功能是让一个小灯闪烁(破编辑器复制粘贴都没有,等我找到怎么粘贴代码就把这链接去掉)
代码连接
不想画积分的可以自己敲一下,当作练手
在这里插入图片描述
12、粘好代码之后,保存一下文件,然后把这个文件设置成顶层模块
在这里插入图片描述
13、然后切换到Hierarchy,右键点击test2上面的那个东西,选择Device,如下图
在这里插入图片描述
14、选择"Device and Pin Options"
在这里插入图片描述
15、在"Configuration"中选择芯片型号(我的是EPCS16)
在这里插入图片描述
16、点击"Unused Pins",选择"As input tri-stated"
在这里插入图片描述
17、点击"Dual-Purpose Pins",把所有Value换成"Use as regular I/O"在这里插入图片描述
18、点击"Voltage",选择"3.3-V LVTTL"
在这里插入图片描述
19、点击OK,然后编译工程
在这里插入图片描述
20、点击"Pin Planner"然后设置引脚
在这里插入图片描述
21、引脚设置好如下图
在这里插入图片描述
22、再编译一次
23、选择"tool"里面的"Programmer"
在这里插入图片描述
24、插上USB-Blaster,插到fpga板子的JTAG口,给板子上电,一定要先插上调试器再给FPGA上电,左上角如果能显示USB-Blaster的驱动就能正常下载,如果没有驱动请先安装驱动,安装驱动的方法这里就不介绍了,可以去百度,Mode选择JTAG
在这里插入图片描述
25、选择左边的"Add File",然后选择扩展名为".sof"的文件,然后点击start,右上角显示"100%(Successful)"就表示下载成功,你的板子上的小灯就会愉快的跳动起来。
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值