2、按键消抖

2、按键消抖

抖动时间的长短由按键的机械特性决定,一般为 5ms~10ms。根据抖动的时间为 5ms~10ms,我们产生一个 20ms 的延时,让前沿抖动消失后再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有键按下。
原理: 当系统检测到按键为低电平时 cnt_20ms 计数器就计数,当检测到按键为高电平时 cnt_20ms 计数器就清零。

在这里插入图片描述

module key_filter
#(
	parameter CNT_MAX = 20'd999_999 //计数器计数最大值
)
(
	input wire sys_clk , 			//系统时钟 50MHz
	input wire sys_rst_n , 			//全局复位
	input wire key_in , 			//按键输入信号
	output reg key_flag 			//key_flag 为 1 时表示消抖后检测到按键被按下
									//key_flag 为 0 时表示没有检测到按键被按下
);
	reg [19:0] cnt_20ms ; 			//计数器

	//cnt_20ms:如果时钟的上升沿检测到外部按键输入的值为低电平时,计数器开始计数
	always@(posedge sys_clk or negedge sys_rst_n)begin
		if(sys_rst_n == 1'b0)
			cnt_20ms <= 20'b0;
		else if(key_in == 1'b1)
			cnt_20ms <= 20'b0;
		else if(cnt_20ms == CNT_MAX && key_in == 1'b0)
			cnt_20ms <= cnt_20ms;
		else
			cnt_20ms <= cnt_20ms + 1'b1;
	end
	
	//key_flag:当计数满 20ms 后产生按键有效标志位
	//且 key_flag 在 999_999 时拉高,维持一个时钟的高电平
	always@(posedge sys_clk or negedge sys_rst_n)begin
		if(sys_rst_n == 1'b0)
			key_flag <= 1'b0;
		else if(cnt_20ms == CNT_MAX - 1'b1)
			key_flag <= 1'b1;
		else
			key_flag <= 1'b0;
	end
	
endmodule

在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值