Quartusii 13快速绑定引脚以及编译无法找到某个引脚的解决方案

  Quartusii13如何快速绑定引脚方法,

1.新建一个.txt文件

2.pin.txt文件的编辑

3.导入txt到工程

4.查看引脚有没有绑定上

二:出现的问题

我在编译的时候,出现了

Error (176310): Can't place multiple pins assigned to pin location Pin_F16 (IOPAD_X41_Y19_N14)

这个错误,而我的芯片是有F16这个引脚的。所以我判断应该是在quartusii13哪里没有设置好。

在谷歌后,发现果然就是我的quartusii13没有设置好。

工程应该要做如下操作。

1.点击Assignments的Device选项

​2.点击Device and pin Options

3.设置引脚为输入三态模式

4.

再次编译就能够通过了(这里也不一定是都要改成输入三态,根据工程实际情况改变 (2021年3月29注))

 

问题二(2021年3月29注

使用txt文件无法绑定引脚。

Quartus ii分配引脚的三种方式

参考这篇博文,你可以先建立一个excel表格,格式通上面txt,保存为csv文件格式,在导入到Quartusii13中。

  • 0
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值