【FPGA的基础快速入门16-------DHT11数字温湿度传感器】

FPGA的基础学习-------DHT11数字温湿度传感器

DH11简介

DHT11是一款温湿度复合传感器,传感器包括一个电容式感湿元件和一个NTC测温元件,并与一个高性能8位单片机相连接。
相对湿度和温度测量、成本低、长期稳定、响应快、抗干扰能力强。
在这里插入图片描述

数据格式

DHT11采用单总线通信,一次传送40位数据,高位先出
在这里插入图片描述
校验位为前面四个字节之和的末8位:
在这里插入图片描述

温度小数部分的最高位为1则表示负温度,否则为正温度:

在这里插入图片描述

数据时序

用户主机(MCU)发送一次开始信号后,DHT11从低功耗模式转换到高速模式,待主机开始信号结束后,DHT11发送相应信号,送出40bit的数据,并触发一次信采集。
在这里插入图片描述
注意:
1、DHT11上电后要等待1s以跳过不稳定状态,在此期间不能发送任何指令。
2、结束信号后,DHT11内部重测环境温湿度数据,并记录数据,等待外部信号的到来。
3、主机从DHT11读取的温湿度数据总是前一次的测量值,如两次测间隔时间很长,请连续都两次,以第二次获得的值为实时温湿度值。
4、不建议连续多次读取传感器,每次读取传感器间隔大于2秒即可获得准确的数据。
时序参数:
在这里插入图片描述

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

周猿猿

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值