FPGA的学习:DHT11数字温湿度传感器

本文介绍了使用FPGA控制DHT11数字温湿度传感器,将读取到的数据在数码管上进行显示的实验过程。通过按键实现湿度和温度值的切换显示。详细内容包括系统整体框图、工程模块设计、Verilog代码编写及SignalTap波形分析。
摘要由CSDN通过智能技术生成

实验目标:控制 DHT11,读出湿度温度数据显示在数码管中,通过按键使湿度和温度在数码管中切换显示。

系统的整体框图和工程模块如下。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
编写代码:

`timescale  1ns/1ns
module  dht11_ctrl
(
    input   wire        sys_clk     ,   //系统时钟,频率50MHz
    input   wire        sys_rst_n   ,   //复位信号,低电平有效
    input   wire        key_flag    ,   //按键消抖后标志信号

    inout   wire        dht11       ,   //控制总线

    output  reg [19:0]  data_out    ,   //输出显示的数据
    output  reg         sign            //输出符号位,高电平显示负号

);
//parameter define
parameter   S_WAIT_1S  = 3'd1   ,   //上电等待1s状态
            S_LOW_18MS = 3'd2   ,   //主机拉低18ms,发送开始信号状态
            S_DLY1     = 3'd3   ,   //等待20-40us状态
            S_REPLY    = 3'd4   ,   //DHT11响应80us状态
            S_DLY2     = 3'd5   ,   //拉高等待80us状态
            S_RD_DATA  = 3'd6   ;   //接收数据状态

parameter   T_1S_DATA    = 999999 ; //1s时间计数值
parameter   T_18MS_DATA  = 17999  ; //18ms时间计数值

//reg define
reg         clk_1us     ;   //1us时钟,用于驱动整个模块
reg [4:0]   cnt         ;   //时钟分频计数器
reg [2:0]   state       ;   //状态机状态
reg [20:0]  cnt_us      ;   //us计数器
reg         dht11_out   ;   //总线输出数据
reg         dht11_en    ;   //总线输出使能信号
reg [5:0]   bit_cnt     ;   //字节计数器
reg [39:0]  data_tmp    ;   //读出数据寄存器
reg         data_flag   ;   //数据切换标志信号
reg         dht11_d1    ;   //总线信号打一拍
reg         dht11_d2    ;   //总线信号打两拍
reg [31:0]  data        ;   //除
  • 3
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
首先,我们需要连接FPGADHT11数字湿度传感器和SYN6288语音合成模块。对于FPGADHT11的连接,我们需要使用FPGA的GPIO口来读取DHT11的数据,具体的连接方式可以参考DHT11的datasheet。 对于SYN6288语音合成模块,我们需要使用UART串口通信协议来控制它。具体的通信协议可以在SYN6288的datasheet中找到。 接下来,我们可以在FPGA上编写Verilog代码来读取DHT11的数据,并通过UART串口将读取到的湿度数据发送给SYN6288语音合成模块。 以下是一个简单的Verilog代码示例: ```verilog module temp_humi_detection( input clk, input reset, output reg [7:0] tx_data, output reg tx_valid, input [7:0] rx_data, input rx_ready ); reg [31:0] counter; reg [1:0] state; reg [7:0] temp_data; reg [7:0] humi_data; reg [7:0] checksum; parameter IDLE = 2'b00; parameter START = 2'b01; parameter READ_DATA = 2'b10; wire [31:0] dht11_data; assign dht11_data = {1'b0, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0}; always @(posedge clk, posedge reset) begin if (reset) begin counter <= 0; state <= IDLE; temp_data <= 0; humi_data <= 0; checksum <= 0; tx_data <= 0; tx_valid <= 0; end else begin case (state) IDLE: begin counter <= 0; state <= START; end START: begin if (counter < 20) begin counter <= counter + 1; end else begin counter <= 0; state <= READ_DATA; end end READ_DATA: begin if (counter < 40) begin counter <= counter + 1; if (counter >= 16 && counter <= 23) begin temp_data <= (temp_data << 1) | dht11_data[counter]; end else if (counter >= 24 && counter <= 31) begin humi_data <= (humi_data << 1) | dht11_data[counter]; end else if (counter >= 32 && counter <= 39) begin checksum <= (checksum << 1) | dht11_data[counter]; end end else begin counter <= 0; if (checksum == ((temp_data + humi_data) & 0xff)) begin tx_data <= {8'h5b, 8'h5b, 8'h57, 8'h61, 8'h72, 8'h6d, 8'h74, 8'h68, 8'h5d, temp_data, 8'h20, 8'h50, 8'h65, 8'h72, 8'h63, 8'h65, 8'h6e, 8'h74, 8'h20, humi_data, 8'h25}; tx_valid <= 1; end state <= IDLE; end end endcase end end always @(posedge clk) begin if (rx_ready) begin tx_valid <= 0; end end endmodule ``` 以上代码中,我们使用了一个有限状态机来读取DHT11的数据。当FPGA收到一个reset信号后,它会进入IDLE状态。在IDLE状态下,计数器会被清零,并且状态会切换到START状态。在START状态下,计数器会开始递增,并且当计数器达到一定值时,状态会切换到READ_DATA状态。在READ_DATA状态下,FPGA会读取从DHT11传感器中接收到的数据,并计算出校验和。如果校验和正确,则FPGA会通过UART串口将湿度数据发送给SYN6288语音合成模块,否则它会重新回到IDLE状态。 最后,我们需要编写控制SYN6288语音合成模块的代码。以下是一个简单的Verilog代码示例: ```verilog module syn6288_controller( input clk, input reset, input [7:0] rx_data, input rx_valid, output reg [7:0] tx_data, output reg tx_valid ); reg [7:0] syn6288_state; reg [15:0] syn6288_counter; parameter IDLE = 2'b00; parameter WAIT_ACK = 2'b01; parameter PLAY_AUDIO = 2'b10; always @(posedge clk, posedge reset) begin if (reset) begin syn6288_state <= IDLE; syn6288_counter <= 0; tx_data <= 0; tx_valid <= 0; end else begin case (syn6288_state) IDLE: begin if (rx_valid && rx_data == 8'h5a) begin syn6288_state <= WAIT_ACK; end end WAIT_ACK: begin if (syn6288_counter < 1000) begin syn6288_counter <= syn6288_counter + 1; end else begin syn6288_counter <= 0; if (rx_valid && rx_data == 8'h06) begin syn6288_state <= PLAY_AUDIO; end else begin syn6288_state <= IDLE; end end end PLAY_AUDIO: begin if (syn6288_counter < 2000) begin syn6288_counter <= syn6288_counter + 1; end else begin syn6288_counter <= 0; syn6288_state <= IDLE; end end endcase case (syn6288_state) IDLE: begin tx_data <= 8'h5a; tx_valid <= 1; end WAIT_ACK: begin tx_data <= 0; tx_valid <= 1; end PLAY_AUDIO: begin tx_data <= 8'h06; tx_valid <= 1; end endcase end end endmodule ``` 在以上代码中,我们使用了一个有限状态机来控制SYN6288语音合成模块。当FPGA收到SYN6288语音合成模块的ACK信号后,它会进入WAIT_ACK状态。在WAIT_ACK状态下,FPGA会等待一段时间,以确保SYN6288语音合成模块已经准备好播放音频。在PLAY_AUDIO状态下,FPGA会发送一个播放音频的命令给SYN6288语音合成模块,并等待一段时间,以确保音频已经播放完成。最后,FPGA会回到IDLE状态,等待下一次读取湿度数据的命令。 综上所述,以上代码示例可以实现一个基于FPGADHT11数字湿度传感器和SYN6288语音合成模块的环境湿度检测系统,并通过语音合成模块将湿度数据播报出来。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

石小舟

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值