Magic Image FPGA虚拟视频源平台(一)

本文介绍了如何使用Modelsim与Quartus II进行FPGA仿真,包括Modelsim的基础使用、脚本命令加速仿真的方法,以及解决仿真过程中遇到的问题。通过批处理文件和do文件,提高了仿真效率,适用于图像处理和识别的FPGA设计验证。
摘要由CSDN通过智能技术生成

第一部分 Modelsim 使用基础

一、ModelSim仿真工具
安装Quartus II13.0成功后(安装区分32.和64bit),自带仿真工具:
1.从开始—所有程序找到Altera 13.0,最终找到Modsim-Altera 仿真工具。
2.使用联调Modsim-Altera,打开Quartus II软件,tool–run simulation–RTLsimulation
其中,若联调出现问题(路径不匹配)解决方法:tools—options—EDA Tool options—Modsim-Altera 配置新路径:D:\altera\13.0sp1\modelsim_ase\win32aloem 安装在D盘时。
(1)不使用Quartus II仿真与(2)第一种方法相似,只写counter.v和tb_counter.v
(2)使用Quartus II软件的两种仿真方法:
第一种:使用 .v 格式,新建工程,新建待仿真文件和测试文件分别为counter.v和tb_counter.v
tb_counter.v中书写testbench 语法编译无误后,进行仿真的基本设置:下图:
Modelsim仿真设置步骤1
Modelsim仿真设置步骤1

图2 选

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值