2022芯原芯片设计 笔试题分析和讨论

1 篇文章 0 订阅

2022芯原设计笔试题分析和讨论

以下仅为个人理解和分析,不保证正确,欢迎大家发表自己的想法,讨论出正确答案!

企业知识题

1.1 D
芯原的主要经营模式为芯片设计平台即服务(Silicon Platform as a Service,SiPaaS)模式。
请添加图片描述
1.2 B
公司遵循“Fair(公平)、Care(关爱)、Share(共享)、Cheer(快乐)”的企业文化
请添加图片描述

智力题

2.1 C
这个题比较简单,中间的数字是前后两个字母之间间隔字母的个数(字母歌大家都会唱吧?)再加一。如:R-T,中间含有1个S,所以就是2.
请添加图片描述

2.2 D
外圈等于相邻内圈扇形和再加上圆心的3,例如13=4+6+3;
请添加图片描述
2.3 C
注意题目是不能由左边给定的图形做成,是不能
在这里插入图片描述

2.4 未知
看不清图中的值
在这里插入图片描述

2.5 C
6桶酒加起来后的和减去啤酒的容量,是3的倍数即可
在这里插入图片描述

技术多选题

3.1 A、B、C
PPA是指性能功耗面积
在这里插入图片描述

3.2 BD
不是很确定这个答案,C的约束设置不能过于严格,在优化时可能会得不到结果;B感觉是对的,A中的sdc文件是综合后生成的,D正确
A:综合需要的文件:RTL文件、标准单元的库文件、其他库文件。
D:综合主要包括三个阶段:转换(translation)、优化 (optimization)与映射(mapping)。
在这里插入图片描述

3.3 ADE
A:功耗和性能的关系需要具体情况具体分析,不能直接说功耗的重要性不如性能
D:功耗分为动态功耗和静态功耗
B:功耗分析和仿真应该没什么关系
C:SDC文件是标准延时约束文件,个人感觉也没啥关系
E:SPEF是Standard Parasitic Extraction Format的缩写,用于描述芯片在PR之后实际电路中的 R L C 的值。由于芯片的 current loops非常窄也比较短,所以一般不考虑芯片的电感,所以通常SPEF中包含的寄生参数为RC值。
在这里插入图片描述

3.4 ABD
在这里插入图片描述

技术单选题

4.1 B
low VT会增加性能,但是同时也会增加漏电流,错误
在这里插入图片描述

4.2 D
forever不能实现,verilog中的循环语句的循环次数必须有限,因为verilog最终都是转换成硬件电路的,无限的循环次数是无法转换的。
在这里插入图片描述

4.3 D
index表示的是多路组相连cache结构的路数,这里就是16,需要4位;offset表示的是单个cache中的1byte位置,这里是32,需要5位,所以答案是D;tag用来在使用index选出cache位于哪一组后去比较具体的cache块位于哪一路(没太明白)。
在这里插入图片描述

4.4 A
这个题目问的是一个脉冲信号,从快时钟域同步到慢时钟域时,哪个方法最好;A是握手,B是异步FIFO,C是在快时钟域将信号延长至两个快时钟周期,然后两级打拍,D我没明白(o(╥﹏╥)o)
在这里插入图片描述

4.5 C
先不考虑DFF的输出延时,可以得到CLK的数据是CLK1和QN非的与门得到的结果,那就要考虑如何把DFF的输出延时的影响去掉;参考选项,选项中都是用的锁存器,根据非门,首先排除A和D,然后B中得到的CLK2和CLK1的高电平对应不上,所以就是C。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

4.6 C
agent本身是一个包含sequencer、driver和monitor的容器.
在这里插入图片描述

4.7 C
[]中没有数据,则为动态数组
在这里插入图片描述

4.8 D
不会,也没查到,盲猜一波
在这里插入图片描述

4.9 A
这个题我也没看懂,感觉是A。LT指的应该是流水线工作起来后的频率,而不是第一波处理的延时,pipe_1A和pipe_1B的处理需要按照慢的来算;TP这里我的考虑是pipe 0、1、2的最小吞吐量来选(个人看法)。
在这里插入图片描述
在这里插入图片描述

4.10 D
阻塞和非阻塞
在这里插入图片描述
在这里插入图片描述

4.11 B
先求所需建立时间和保持时间,然后用周期减去这个计算的值,再减去给出的建立时间和保持时间后,就是建立和保持的裕量
在这里插入图片描述
在这里插入图片描述

  • 20
    点赞
  • 227
    收藏
    觉得还不错? 一键收藏
  • 28
    评论
### 回答1: 华为海思2021数字芯片IC的笔试题目主要分为两部分,一部分是单选题和多选题,另一部分则是编程题。 单选题和多选题主要考察对数字电路和计算机原理的理解,题目涵盖了数字信号处理、数制转换、寄存器、存储器、逻辑门电路等方面的知识。需要注意的是,有些题目看似简单但是需要注意细节,例如计算机的存储空间测量单位是字节而不是位。 编程题则需要根据题目要求编写相应的程序。一般来说,编程题比较难,需要对编程语言和算法都有比较深的理解。此次考试的编程题主要考查了对链表的理解和程序设计能力。 通过本次考试,可以看出华为海思2021数字芯片IC的笔试题目难度较大,需要对相关领域有深入的掌握和理解。在备考期间,应该多加练习和思考,增加对基础知识的掌握和应用能力。 ### 回答2: 华为海思2021数字芯片IC笔试题主要考察了应聘者对于数字电路设计的相关知识点的理解和应用能力。测试题分为两部分,一部分是基础知识选择题,另一部分是设计题。 基础知识选择题主要考察了应聘者对于数字电路、布尔代数、时序分析等基础知识点的了解。比如,有一道题目是让应聘者判断一段代码是否可以正确地实现计数器功能。这需要应聘者对计数器的基本组成原理和实现方法有充分的了解。 设计题则更加考验应聘者的应用能力。其中,一道题目要求应聘者根据给定的电路输入、输出和时钟信号,设计出一个可靠稳定的时序电路。这需要应聘者对时序电路的编程能力、逻辑设计和时序分析能力有较高的掌握。 对于应聘者而言,需要提前充分准备,熟悉数字电路设计相关知识点,深入理解数字电路的实现原理和设计方法。同时,要注重考虑实际应用需求和技术实现可行性,保证设计方案的有效性。只有全面掌握相关知识和技能,才能在笔试中取得更好的成绩,从而在海思数字芯片IC设计岗位中取得更好的工作机会。 ### 回答3: 华为海思2021数字芯片IC笔试题主要考察了应聘者在数字电路设计、Verilog语言、RTL综合等方面的知识掌握程度和综合应用能力。试题设计难度适中,较为贴近实际工作中的应用场景。 第一题是给定一组规定的时序图,要求设计Verilog代码和RTL电路图,实现一个4位带使能端的同步锁存器。此题考查了对时序逻辑电路的理解,需要应聘者能够将时序图抽象成基本的组合逻辑与时序逻辑模块,结合Verilog语言编写可合成的Verilog代码和RTL电路图。 第二题是仿真题目,要求给定Verilog代码进行仿真,并观测输出信号,分析仿真结论。此题考查了对数字电路仿真的能力和Verilog语言的掌握程度,需要应聘者熟悉Verilog仿真工具的使用方法,能够正确编写测试代码,并对仿真结果进行分析。 第三题是RTL综合题目,给定Verilog代码,要求进行RTL综合并生成门级网表文件。此题考查了对数字电路综合的理解和工具使用能力,需要应聘者熟悉数字电路综合的各项规则,能够正确地进行综合操作,并生成准确的门级网表文件。 总体来说,华为海思2021数字芯片IC笔试题考查了应聘者的数字电路设计和RTL综合等方面的综合应用能力,较为贴近实际工作。应聘者可以通过思考、练习和掌握基本的数字电路设计和RTL综合知识,提升答题能力和应用水平,更好地应对类似的笔试题目。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 28
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值