详细介绍如何在linux中配置chisel环境

一、安装java和scala

1、安装java

安装java

sudo apt install openjdk-11-jre-headless

安装javac

sudo apt install openjdk-11-jdk-headless

测试是否安装成功:

java --version或javac --version

在这里插入图片描述

2、安装scala

1)下载

传送门:https://www.scala-lang.org/download/2.12.12.html
在这里插入图片描述
2)解压缩文件包,可将其移动至/usr/local/share下:

sudo mv /downloadpath/scala-2.12.12/ /usr/local/share # 下载目录需要按你实际的下载路径

3)修改环境变量,在profile文件的末尾加入下面第二行的内容:

sudo vim /etc/profile

export PATH="$PATH:/usr/local/share/scala-2.12.12/bin"

4)保存退出

先按:esc键
然后按下::wq

5)重启

6)最后测试是否安装成功即可

在这里插入图片描述

二、安装sbt

依次执行以下命令:

echo "deb https://repo.scala-sbt.org/scalasbt/debian all main" | sudo tee /etc/apt/sources.list.d/sbt.list
echo "deb https://repo.scala-sbt.org/scalasbt/debian /" | sudo tee /etc/apt/sources.list.d/sbt_old.list
curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add
sudo apt-get update
sudo apt-get install sbt

有一点需要注意的是,如果安装了sbt,我们其实就不需要安装scala了,也就是前面安装的scala压根就用不到,你可以理解为sbt会自动下载所需版本的scala!!!

三、安装chisel及相关文件

有两种方式:

  • 第一种是通过在工程文件夹下的build.sbt文件中添加库依赖并指定好版本,使指定版本的chiselfirrtl等一些所需文件自动下载;
  • 第二种是单独下载安装chiselfirrtltreadle,然后导出到~/.ivy2/local/edu.berkeley.cs路径下供sbt使用;

1、第一种方式

①先从GitHub上克隆一个chisel-examples文件夹:

git clone https://github.com/schoeberl/chisel-examples.git

可以看到文件夹中有个build.sbt,重点在于修改版本号:

scalaVersion := "2.12.12"

scalacOptions := Seq("-deprecation", "-Xsource:2.11")

resolvers ++= Seq(
  Resolver.sonatypeRepo("snapshots"),
  Resolver.sonatypeRepo("releases")
)

// Chisel 3.4
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.4.3"
libraryDependencies += "edu.berkeley.cs" %% "chisel-iotesters" % "1.5.3"
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "0.3.3"

你可以合理的修改其中的版本号,来控制自动下载的chisel版本,虽然没有显式配置firrtl,但是firrtl也会同步下载。

关于以上指定的版本号,可以在这里传送门查看,对应起来就好,如下所示:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
至于这里库依赖的格式,可以参考:

https://www.scala-sbt.org/1.x/docs/zh-cn/Library-Dependencies.html

②接着在该文件夹下打开终端,执行:

make alu

也可以执行其他命令,这里主要是为了运行sbt命令生成.v文件,这样才能开始下载chiselfirrtl
在这里插入图片描述

你会发现,下载的东西存在了家目录的如下路径中:
在这里插入图片描述

继续查看chisel3_2.12/3.4.3/下面的文件:
在这里插入图片描述
chisel3_2.12-3.4.3.jar文件其实就是以后写chisel程序会使用的chisel3库,如下所示:
在这里插入图片描述

chisel3_2.12-3.4.3.pom文件提供了groupId, artifactId 和 version的具体信息,在.sbt文件中写库依赖的时候会用到这几个信息,如下所示:
在这里插入图片描述

需要说明的是:

其实你可以理解为在使用sbt编译运行chisel程序,最终生成verilog代码的过程中,sbt会自动在以下路径寻找chisel3库文件和firrtl

/home/alex/.cache/coursier/v1/https/repo1.maven.org/maven2

然后根据build.sbt中的库依赖(比如libraryDependencies += "edu.berkeley.cs")定位到更加具体的如下路径,并在其中寻找所需版本的chisel3库文件和firrtl

/home/alex/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs
在这里插入图片描述

又会根据%% "chisel3" % "3.4.3"找到下图中的文件,也即chisel3库。
在这里插入图片描述
因为此时只安装了一个版本的chisel3,当有多个版本的时候,你就需要在build.sbt里正确指定版本号,这样就不会使用其他版本,也不会再下载新版本。所以也就是说,当上述路径下存在了相关文件后,以后就不需要再下载了,除非你又指定了不同的版本,更新或更旧的版本!!!

如果正确下载了以上文件,make alu最后会执行成功,如下所示:
在这里插入图片描述

2、第二种方式

首先需要说明的是,接下来将要下载下来的三个包,它们的build.sbt里面的scala版本,至少要保证三者(其实是四者,还有工程文件夹下的build.sbt)的版本号都是2.12.x或者2.13.x。如果有的是2.12.x,有的是2.13.x,可能会报路径错误,你可以自己试试。

之所以会有路径错误,是因为如下图所示:
在这里插入图片描述
文件夹的名字中的2.12其实是会随你设置的scala版本的不同变化的,也即如果下面安装的三个包的build.sbt设置的是2.13.x,那么上图中的文件夹的名字里也是2.13

而执行make alu时,如果工程文件夹中的build.sbt设置的是2.12.x,它寻找依赖时会访问比如chisel3_2.12文件夹,不会访问chisel3_2.13文件夹,那么就会找不到。大概是这意思吧,反正我是出错了,所以最好直接设置成同一个版本,应该会好些!!!

如下三张图依次是下载好这三个包后,首先修改后的build.sbt中版本内容:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

①安装Firrtl:

git clone https://github.com/freechipsproject/firrtl.git && cd firrtl

克隆完成后,cd命令会把终端路径切换到firrtl文件夹下,在该路径下执行:

pushd firrtl; sbt publishLocal; popd

②安装treadle:

编译完成后,执行测试命令:

git clone https://github.com/chipsalliance/treadle.git && cd treadle

克隆完成后,cd命令会把终端路径切换到treadle文件夹下,在该路径下执行:

pushd treadle; sbt publishLocal; popd

安装chisel3,依次执行如下命令:

git clone https://github.com/freechipsproject/chisel3.git && cd chisel3

sbt compile

sbt publishLocal

如果安装正确,会在~/.ivy2/local/edu.berkeley.cs路径下看到:
在这里插入图片描述
完成上面的步骤后,更改一下你的项目工程chisel-examples下的build.sbt中的版本号即可:

scalaVersion := "2.12.12"

scalacOptions := Seq("-deprecation", "-Xsource:2.11")

resolvers ++= Seq(
  Resolver.sonatypeRepo("snapshots"),
  Resolver.sonatypeRepo("releases")
)

// Chisel 3.5
libraryDependencies +=  "edu.berkeley.cs" %% "chisel3" % "3.5-SNAPSHOT"
libraryDependencies += "edu.berkeley.cs" %% "chisel-iotesters" % "1.5.3"
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "0.3.3"

需要说明的是:

第二种方式直接git下来的firrtl、treadle、chisel,后面都带有-snapshot。比如我写这篇文章的时候,下载的这三个东西的版本分别是:

1.5-SNAPSHOT
1.5-SNAPSHOT
3.5-SNAPSHOT

回忆一下第一种方式下载下来的包,它们的版本就没有-snapshot,比如之前的chisel的版本就是3.4.3。个人认为这里版本号不同的特点非常关键,因为由于两者的版本号明显不同,所以当如下路径:

/home/alex/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs

和如下路径:

~/.ivy2/local/edu.berkeley.cs

同时存在chisel时,也不会有冲突,因为它们的版本号正如上面所说的,有着明显的不同,所以你只需要在工程目录下的build.sbt文件中指定好chisel的版本号即可,如下所示:

libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.5-SNAPSHOT"

你可以理解为sbt看到-SNAPSHOT就会去第二个路径下找chisel,否则就去第一个路径下找chisel,而其他包的寻找保持和chisel一致即可,也即总是使用选择的chisel库同路径下的其他包,比如firrtl

四、小结

以上的第三部分内容部分属于自己的猜测,可能不完全对,如有错误,还望指正。会再继续研究研究!!!溜了溜了!!!

  • 4
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

耐心的小黑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值