System Verilog通过CORDIC算法迭代16次求sin和cos值

求5~85度的sin和cos值
其它角度和Verilog实现代码类似,查表、移位和加法器

`define     DIE    16          //迭代次数 
`define     PIE    3.1415926  
`define     MUL    100_000_000 //同比放大
initial begin  
int die;  
int x[17];  
int y[17];  
int z[17];  
int tan[16] =`{78539816,46364760,24497866,12435499,6241881,3123983,1562372,781234,390623,195312,97656,48828,24414,12207,6104,3052};  
int d;  
int angle;        //角度值
int cosz0,sinz0;  
#100;  
x[0] =(0.607253*`MUL);  
z[0] =(`PIE*`MUL/4);  
$display("x[0] = %0d;z[0] = %0d,tan[0]",x[0],z[0],tan[0]) ;  
  for(angle = 5;angle <= 85;angle++)  
  begin  
    z[0] = angle*2*(`MUL*`PIE)/360;   //转成弧度后作为初始角度
    for(die =0;die<`DIE;die++)begin  
        @(posedge clk) ;  
        if(z[die] >= 0)begin  
            d = 1;  
        end  
        else begin  
            d = -l;  
        end  
        x[die+1]= x[die] - d*((y[die]) >> die );  
        y[die+1]= y[die] + d*((x[die]) >> die );  
        z[die+1]= z[die] - d*tan[die];  
      end  
      cosz0 = x[16];  
      sinz0 = y[16];  
   end  
end

在这里插入图片描述

参考:https://blog.csdn.net/Pieces_thinking/article/details/83512820

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

加载-ing

赏!大大的赏!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值