The UVM Primer -- Chapter 11 UVM Tests

本文介绍了如何使用UVM工厂机制创建和运行不同测试用例,通过+UVM_TESTNAME参数调用对应的测试类。讲解了如何定义和注册UVM测试,包括创建测试类、扩展uvm_test以及使用uvm_config_db设置全局变量。此外,还提到了run_test函数的工作原理,以及在run_phase中创建模块对象和使用objection机制控制仿真结束。
摘要由CSDN通过智能技术生成

Chapter 11 UVM Tests

11.1 Creating Test with Factory

  • 利用工厂机制,我们可以只编译一次测试平台,然后使用factory机制运行不同的测试用例,通过向UVM传入+UVM_TESTNAME的string型变量调用工厂机制,并创建对象。
    vsim testbench -coverage +UVM_TESTNAME=add_test
    vsim testbench -coverage +UVM_TESTNAME=random_test
    通过以上两条命令就可以分别创建add_test的对象和random_test的对象。
  • 上一节,记录了如何使用OOP的测试平台,通过在testbench顶层文件中调用各个对象的execute函数启动方针平台,在使用UVM之后,我们可以使用run_test()函数启动方针
module top;
	import uvm_pkg::*;                          //导入uvm定义的类&函数&任务等
	`include "uvm_macros.svh"                   //导入uvm定义的宏
	import tinyalu_pkg::*;                      //导入tinyalu定义的类&函数&任务等
	`include "tinyalu_macros.svh"               //导入timyalu定义的宏
	
	tinyalu_bfm  bfm;
	tinyalu DUT(.A(bfm.A),.B(bfm.B),.op(bfm.op)...);
	
	initial begin
		uvm_config_db #(virtual interface tinyalu_bfm)::
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值