The UVM Primer -- Chpater 19 UVM Reporting

本文详细介绍了UVM报告系统,包括uvm_report宏的使用,如uvm_info、uvm_warning、uvm_error和uvm_fatal。阐述了如何设置全局和局部的verbosity级别来控制信息打印,以及如何禁用警告、错误和致命消息。通过实例展示了如何在测试平台中应用这些机制,以帮助在验证过程中更好地定位问题。
摘要由CSDN通过智能技术生成

Chpater 19 UVM Reporting

19.1 UVM Report Macro

测试平台在运行过程中,会产生大量数据和信息。这些信息需要筛选之后,才能呈献给我们,否则我们无法处理海量的信息。UVM提供Report来解决这个问题,通过uvm_report的宏,将需要打印的信息分级,并且我们可以在end_of_elaboration_phase()中控制输出。

  • UVM提供四种不同打印等级的report macro:
    • `uvm_info(<message ID string>,<message string>,<verbosity>)
    • `uvm_warning(<message ID string>,<message string>)
    • `uvm_error(<message ID string>,<message string>)
    • `uvm_fatal(<message ID string>,<message string>)

在介绍各个宏之前,我们先看一个scoreboard中的例子:

data_str = $sformatf(" %2h %0s %2h = %4h (%4h predicted)",
                     cmd.A, cmd.op.name() ,cmd.B, t,  predicted_result);

if (predicted_result != t) 
  `uvm_error 
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值