SVA介绍----SVA检验器(参数/选择运算符/true表达式)

使用参数的SVA检验器

SVA允许像verilog一样在检验器中使用参数,这位创建可重用的属性提供了很大的灵活性,比如两个信号之间的延迟信息就可以参数化。

//声明参数化的检验器
module gen_chk(input logic a,b,clk);
	parameter delay =1;
	property p16;
		@(posedge clk) a |-> ##delay b;
	endproperty
	a16: assert property(p16);
endmodule
//例化
module top(
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值