system verilog之数据结构

1、结构体和联合体(参考黄皮书)

联合体:只存储一个元素,这个元素是不同的数据类型;其本质是提供了一种允许多种方式方式来使用同一块存储空间。典型应用:一个值可能是有符号或没符号的,但每次只使用其中一种。

typedef union{  // 使用了typedef来定义的这种方式,叫自定义联合体;否则就是匿名联合体
  int            i  ;
  int  unsigned  u_i;
}  data_t

每一次读联合体的成员与上一次存储联合体的成员,必须相同,否则会报错。

结构体:提供了将相关数据集合在一个相同名字下的机制。

压缩结构体/联合体:所有成员都是整数值,不能包含real、非压缩结构体/联合体/数组/。

数组:所有SV支持的数据类型都可以声明为非压缩数组(黄皮书P88),包括shortreal、real、typedef声明的用户自定义类型、struct、enum;

非压缩数组:元素之间的存储是相互独立的;

压缩数组:所有元素是以类似向量的形式存储的;

数组的索引:非压缩维度的索引优先于压缩维度,非压缩/压缩维度内的索引从左到右(参考黄皮书P97:图5.5)

只有位形式的数据类型(如bit/logic/reg/压缩数组、压缩结构体、压缩联合体)才可以被压缩

压缩数组可以作为整体、某一位、部分位的索引;

logic     [3:0][7:0] data;
int       tmp;

tmp = data;//选择整个数组
tmp = data[1][2];//选择这个数组维度1的第2个bit数据(等同于data[1][1:1])
tmp = data[1][4:0];//选择这个数组维度1的4:0部分数据
tmp = data[1];//选择这个数组的维度1
tmp = data[1:0];//选择这个数组的维度0和维度1

符号及名作用
`{}:列表操作符sv:表述一个值序列,每个值会赋值给左边对应得元素
`{n{}}:复制列表操作符sv:
{}:拼接操作符verilog/sv:把里面的值拼接为一个整体,再把结果复制给左边的一个元素(左边只能有一个元素)
{n{}}:复制操作符verilog

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SystemVerilog是一种硬件描述语言,它在Verilog的基础上进行了扩展和增强。在SystemVerilog中,有几个与编译顺序相关的问题需要注意。 首先,SystemVerilog中的编译顺序是从上到下的,也就是说,先编译的代码会先执行。这意味着在程序中定义的变量和模块需要在使用之前进行声明和定义。 其次,SystemVerilog中的作用域规则是按照层次结构进行的。当使用一个变量名时,SystemVerilog会先在当前作用域内寻找,然后在上一级作用域内寻找,直到找到该变量为止。这也适用于类的作用域。如果在类的底层作用域中想明确引用类级别的对象,可以使用关键字"this"来指明。 此外,在SystemVerilog中,可以在program中使用initial块来执行一些初始化操作,但不能使用always块。如果确实需要一个always块,可以使用"initial forever"来实现相同的功能。 综上所述,SystemVerilog中的编译顺序是从上到下的,作用域规则按照层次结构进行,可以使用关键字"this"来明确引用类级别的对象,可以使用initial块来执行初始化操作。 #### 引用[.reference_title] - *1* [SystemVerilog学习整理——数据类型](https://blog.csdn.net/lizhao_yang_/article/details/121641415)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [SystemVerilog总结](https://blog.csdn.net/houshidai/article/details/124989265)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值