AXI4-Stream/AXI4-lite,SPI,I2C,AMBA标准接口

本文介绍了AXI4-Lite和AXI4-Stream接口,前者适用于控制寄存器通信,后者用于数据流传输。同时,文章还探讨了SPI接口,并概述了AMBA标准,包括其速率层次(AXI > AHB > APB)以及与AHB的比较。
摘要由CSDN通过智能技术生成

AXI4-Lite

是AXI4接口的子集,专用于和元件内的控制寄存器进行通信(有地址)。
此接口规模较小,对设计和验证方面的要求更少。

AXI4-Stream

Stream,n.流(无地址)
作为一个标准接口,用于连接希望交换数据的元件,将产生数据的主设备和一个接收数据的从设备相连。

SPI

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值