两则乘法器的Verilog描述及测试程序

本文介绍了乘法原理,并通过Verilog描述了两种算法,特别是循环变量减1的方法,同时详细说明了B和A的位移操作。接着提供了测试程序,并展示了仿真结果,强调了如何将仿真结果从16进制转换为十进制以获得清晰的输出。
摘要由CSDN通过智能技术生成

乘法原理 

         

Verilog描述

module MULT4B(R,A,B);
parameter S = 4;//4位乘法器
//参数定义关键词parameter(将常数用字符表示称为参数)
input [S:1] A,B;//A为被乘数,B为乘数
output [2*S:1] R;//R为乘积
integer i;//i为循环变量
reg [2*S:1] R;//always语句中的赋值目标必须为reg型
always @ (A or B)
    begin
        R = 0;
        for(i=1;i<=S;i=i+1)//循环4次
            if(B[i]) R = R + (A<<(i-1));//被乘数左移,与部分积相加
            else R = R;
    end
endmodule

算法二:循环变量减1,循环条件为循环变量>1B<

  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值