Verilog约束文件

使用软件:vivado

vivado使用的约束文件格式为xdc文件。xdc文件主要是定义管脚约束、时钟约束,以及其他时序约束。

约束文件的创建:

①先创建一个.xdc的约束文件,在vivado左侧边栏Project Manager下,点击Add Source

②选择Add or create constraints,点击Next

③点击Create File按钮,在file name输入文件名,点击OK

④点击Finish按钮,完成约束文件的创建

约束文件的书写:(普通IO口只需约束引脚号和电压)

管脚约束:set_property PACKAGE_PIN 引脚编号 [get_ports 端口名称]

电平信号约束:set_proper

  • 8
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值