8位串入并出移位寄存器的Verilog描述

1 Verilog描述

module shift_s2p(
    input     din,
    input     clk,
    input     clr,
    output reg [7:0] q
);
//串入并出移位寄存器
/* 该寄存器由8个同步D触发器组成 */
    always@(posedge clk or negedge clr)begin
        if(clr == 1'b0)
            q <= 8'b0000_0000;
        else 
            //q <= {q[6:0],din};   //非阻塞赋值
            begin
                q[0] = din;        //阻塞赋值
                q     = q << 1;   //阻塞赋值
            end
    end

endmodule

2 RTL视图

3 功能仿真

  • 8
    点赞
  • 52
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值