序列检测10110

序列检测

通过这个序列检测,正好复习前面Mealy状态机。
检测序列10110,用计数计其出现的次数。

工具生成的状态机

在这里插入图片描述

模块代码

module seq_dec(
  input  clk,
  input  rst_n,
  input  din,
  output dout
);
  reg [7:0] cnt; //计满足10110序列的次数

  reg [5:0] P_state;//当前状态
  reg [5:0] N_state;//下一个状态

parameter  S0=6'b000001;//start
parameter  s1=6'b000010;//1
parameter  s2=6'b000100;//10
parameter  s3=6'b001000;//101
parameter  s4=6'b010000;//1011
parameter  s5=6'b100000;//10110

always @(posedge clk or negedge rst_n)
  if(!rst_n)
    P_state <= 6'b0;
  else
    P_state <= N_state;

always @(*)begin
  if(!rst)
    N_state = s0;
  else begin 
    case(P_state)
      s0:if(din==1)  
           N_state = s1;
      s1:if(din==0)
           N_state = s2;
      s2:if(din==1)
           N_state = s3;
         else
           N_state = s0;
      s3:if(din==1)
           N_state = s4;
         else
           N_state = s2;
      s4:if(din==0)
           N_state = s5;
         else 
           N_state = s1;
      s5:if(din==1)
           N_state = s3;
         else
           N_state = s0;
      default:
           N_state = s0;
    endcase
  end
end
assign dout = (P_state==s5);

always @(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt <= 8'b0;
  else if(dout == 1)
    cnt <= cnt + 1'b1;

endmodule

tb

module tb();
reg clk;
reg rst_n;
reg din;
wire dout;
integer i;

always #50 clk=~clk;

initial begin 
clk=0;
rst_n=0;
din=0;
#100
rst_n=1;
data_in(128'hac10_2312_56ba_123f_6dac_6565_ec02_123a,130);
#1000 $finish;
end

task data_in;
  input [127:0] data_in;
  input [7:0]   num;
    for(i=0;i<num;i=i+1)begin
      @(posedge clk)
       din = data_in[127]
       data_in = data_in<<1;
    end
endtask
seq_dec U0(
 .clk(clk),
 .rst_n(rst_n),
 .din(din),
 .dout(dout)
);
endmodule

波形

因为是公司网络,工具都在内网,不能截屏

总结

正在学习中,博客也是刚开始写,有很多不足,希望自己坚持学习下去,继续努力。

  • 6
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值