边沿检测

本文介绍了在SOC系统级芯片设计中如何进行边沿检测,通过两级同步处理单bit信号,实现边沿检测以生成脉冲信号。这种技术常用于可逆计数器的数值计算。代码示例展示了如何用Verilog实现边沿检测器,包括上升沿和下降沿的检测。
摘要由CSDN通过智能技术生成

soc实习记录

边沿检测

边沿的检测,对要进行边沿检测的信号,进行两级同步(这也是对单bit信号跨时钟域的一种处理方式)。
对边沿的检测在实际设计中可以产生脉冲信号,可以用于可逆计数器的数值计算等。

代码

代码还是很简单的。

//soc note
//edge detect 
module edge_detect(
clk,
rstn,
singal_in
);
input clk;
input rstn;
input singal_in;

reg singal_in_reg1;
reg singal_in_reg2;
wire rise_detect;
wire fall_detect;

always @(posedge clk or negedge rstn)
  if(!rstn)
    { singal_in_reg2,singal_in_reg1 } <= 2'b0;
  else 
    { singal_in_reg2,singal_in_reg1 } <= {singal_in_reg1,singal_in};
    
assign rise_detect = singal_in_reg1 & ~singal_in_reg2;
assign fall_detect = ~singal_in_reg1 & singal_in_reg2;

endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值