自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(64)
  • 资源 (28)
  • 收藏
  • 关注

原创 终端天线—11.NFC线圈仿真

NFC线圈仿真

2022-11-19 22:08:53 2989 2

原创 终端天线—10.无线充线圈仿真

无线充线圈仿真

2022-10-23 13:43:04 1296 2

原创 终端天线—9.4G手机调试

4G手机拆机

2022-09-29 20:22:41 1605 1

原创 终端天线—8.2G手机调试

简单对 2G 手机拆机并测试天线无源性能

2022-08-13 14:14:35 1068

原创 RFID天线—1.阻抗测量方法

RFID标签天线阻抗测量方法介绍

2022-07-30 10:32:40 4721

原创 天线理论—1.天线基本参数

天线的一些基本参数,天线带宽、天线阻抗、天线效率、增益、方向性系数、驻波等无源参数的介绍

2022-07-23 13:59:49 15231

原创 终端天线—7.UWB天线仿真

UWB天线仿真

2022-07-17 22:18:56 3865

原创 终端天线—6.PWB调试—Loop

三、PWB调试—IFA1、整机天线布局在164*74mm的双面金属边上设计一个Loop天线,最大净空为10mm,最小净空为3mm;水平枝节长度为32mm,垂直枝节为3mm,短路枝节和馈点间距2mm。2、天线走线形式—Loop3.改变水平辐射长度L反射系数S11分析: 实测和仿真基本一致,但在长度为32时低频处的实测和仿真有些误差,可能由于工艺导致。总辐射效率Eff分析: 辐射效率无明显变化。4.改变垂直辐射长度H反射系数S11分析: 在高频符合高度越大,频率越高

2022-02-20 15:53:56 1881

原创 终端天线—5.PWB调试—IFA

二、PWB调试—IFA1、整机天线布局在164*74mm的双面金属边上设计一个IFA天线,最大净空为10mm,最小净空为7mm;水平枝节长度为56mm,短路枝节为7mm,馈点枝节为6mm,短路枝节和馈点间距5mm。2.天线走线形式:IFA3.改变天线长度L反射系数S11分析: 实测和仿真基本一致,但是在高频处有些偏移。总辐射效率Eff分析: 辐射效率和仿真基本一致,调整枝节长度对效率的影响不大。4.改变天线净空H反射系数S11分析: 净空越大,谐振点的S11越小,

2022-02-20 15:39:59 1239

原创 终端天线—4.PWB调试—Monopole

一、Monopole天线调试1、整机天线布局164*74mm的双面金属边上设计一个L型的Monopole天线;最大净空为10mm,最小净空为6mm;水平枝节长度为52mm,垂直枝节长度为6mm,枝节宽度为1mm。2、天线走线形式-L型Monopole3、反射系数S11分析: 在第一谐振点1GHz处S11,实测与仿真基本一致,但是在高频发生了频偏。4、改变天线长度L反射系数S11分析:1、实测和仿真基本吻合,枝节长度越长,谐振频率越低。2、枝节越长,电流路径越长,谐振频率

2022-02-19 22:53:50 919

原创 终端天线—3.Loop天线仿真

一、Loop模型用一个具有一定厚度的矩形和Loop枝节模拟手机中的Loop天线黄色部分都为金属,由环形金属和地板构成Loop;端口采用离散端口馈电;下面改变枝节的长度宽度等参数,分析天线性能变化。List itemCASE 1:辐射枝节长度的影响CASE 2:辐射枝节高度1的影响CASE 2-1:辐射枝节高度2的影响CASE 3:馈电与地间距的影响二、Loop仿真CASE 1:辐射枝节长度的影响保持高度不变,仅调节水平枝节长度来改变总长度反射系数S11分析: 出现

2022-02-19 22:27:08 6810 1

原创 终端天线—2.IFA天线仿真

一、IFA模型用一个具有一定厚度的矩形和倒F枝节模拟手机中的IFA天线黄色部分都为金属,由倒F枝节和地板构成IFA;端口采用离散端口馈电;下面改变枝节的长度宽度等参数,分析天线性能变化。CASE 1:辐射枝节长度的影响CASE 2:净空大小的影响CASE 3:馈点和短路点间距的影响CASE 4:辐射枝节宽度的影响CASE 5:辐射枝节厚度的影响二、IFA仿真CASE 1:辐射枝节长度的影响其他参数不变,调节辐射枝节的长度反射系数S11分析:1.出现倍频的原因与Mono

2022-02-19 22:03:46 3710

原创 终端天线—1.Monopole天线仿真

一.Monopole模型黄色部分都为金属,倒L枝节和地板构成Monopole;端口采用离散端口馈电;下面改变枝节的长度宽度等参数,比较天线性能。- CASE 1:辐射枝节长度的影响- CASE 2:辐射枝节高度的影响- CASE 3:辐射枝节宽度的影响- CASE 4:馈电与地间距的影响- CASE 5:辐射枝节厚度的影响二、Monopole仿真- CASE1:辐射枝节长度的影响辐射枝节的宽度、厚度和高度不变,调节辐射枝节长度反射系数S11分析:1.出现倍频的原因:1/

2022-02-17 20:20:02 3085

原创 HFSS学习笔记—20.自适应网格剖分设置

HFSS的自适应网格剖分设置(前面是干货,后面是教程)HFSS 软件采用有限元法(FEM)来分析三维物体的电磁特性,有限元法求解问题的基本过程包括分析对象的离散化、有限元求解和计算结果的处理3 个部分。HFSS 软件采用自适应网格剖分技术,根据用户设置的误差标准,自动生成精确、有效的网格,来完成分析对象的离散化。自适应网格剖分的原理是:在分析对象内部搜索误差最大的区域并在该区域进行网格的细化,每次网格细化过程中网格增加的百分比由用户事先设置。完成一次网格细化过程后,软件重新计算并搜索误差最大的区域,判断该

2021-08-15 22:49:59 11998 1

原创 CST微波工作室学习笔记—17.CST和HFSS联合导入、导出模型+仿真

使用HFSS和CST仿真,更能保证仿真的准确性因为HFSS和CST的算法不一样,在HFSS上跑的仿真也许放到CST上跑可能就会不一样,但是也只是有些许差别,因此两者结合去跑去调才更能接近实际。最近使用的都是HFSS去仿真天线模型,CST就比较少用,好像好久不写CST栏的博客了,因此我就把这篇文章放到CST栏下,同时回顾一下CST,温故而知新。1.HFSS模型—>>>CST模型1.HFSS模型导出这里先在HFSS上打开一个模型,选中模型(需要导出的部分),选择Modeler

2021-06-13 17:41:18 11038 8

原创 HFSS学习笔记—19.HFSS模型导出dxf文件并绘制PCB

模型导出dxf文件并生成PCB在HFSS仿真完成后,如果要制作实物的话就需要将模型导出,可以用Altium Designer去绘制PCB。在HFSS导出模型无非就是想得到模型的边框,以方便绘制PCB,下面将介绍在HFSS上导出模型,然后在使用AD去生成PCB。1.导出dxf文件首先在HFSS打开一个模型(需要绘制的模型),如下图为示例模型:选中模型,导出dxf文件:注:只选中模型导出时就不会有空气腔的边框,如果全选模型或者不选择模型导出都会包含空气腔的边框选择Modeler菜单下的Expo

2021-06-12 14:35:26 15362 5

原创 HFSS学习笔记—18.SMA模拟端口

SMA模拟端口前面仿真的模型端口大多都是设置一个矩形,矩形的一侧接触天线的辐射片,另一侧接触接地板,设置集总端口,或者波端口,这样设置后仿真的结果是属于十分理想的情况下得到的;当制作实物时进行测试时可能就会产生误差,可能与仿真有很大差别。我自己制作天线实物,测试时用的都是SMA接头模型,因此这里我将使用SMA接头模型和天线一起仿真。SMA接头模型这里展示的是一个仿真的微带天线,馈电方式是微带线馈电,在微带馈线的输入端使用SMA接头模型来仿真,会提高仿真的准确性。下图是天线实物,使用SMA接头当作

2021-05-12 00:59:23 13648 30

原创 ADS学习笔记—4.优化设计

1.调谐功能—Tuning可以选择电路中的某一个器件值进行修改,在修改的过程中仿真结果也会随着变化,可以根据仿真结果来确定我们要调的值;此功能适用于对电路进行微调在simulation菜单下选择simulation Variables Setup…将需要改的参数全部勾选修改器件值变化的范围和步进值,可根据电路实际有的器件值来确定范围,设置好了选择OK此时原理图的器件值后面会有t样式,表示器件可以进行调谐点击Tuning,开始调谐此时会弹出调谐窗口和仿真结果的窗口,在改变某一个器件值

2020-12-26 14:41:50 13728 9

原创 ADS学习笔记—3.仿真实例

LC滤波器仿真实例设计指标:低通滤波器;阶数:n=5;截止频率:150MHz;阻带衰减:250MHz处时大于20dB;系统输入输出阻抗:50Ω;类型:Butterworth;1.原理图绘制打开之前新建立的原理图:在左边的Parts窗口下,选择Lumped-Components元件库放置器件,放置电感和电容并修改感值和容值:在Simuletion-S_Param元件库下选择Term并放置:这里的Term默认是50Ω,如果系统阻抗是其他值也可以修改成需要的:对器件进行连线

2020-12-13 16:29:00 13179 10

原创 ADS学习笔记—2.原理图界面

1.基本操作鼠标滑轮控制原理图的缩放按住鼠标右键移动鼠标,可以控制原理图视角的移动鼠标左键为选择器件,双击器件可以进入器件的属性设置选择器件时按Delete键可以删除器件,也可以先按下Delete键在选择器件,这样鼠标左键点哪个那个就会被删掉2.元器件库- Lumped—Components:集总元件(如电阻、电感、电容等)- Simulation-S_Param:仿真时所需要设置的一些器件(如扫频,系统阻抗等)- Tlines-Microstrip:微带线(如平行耦合线,T型变换

2020-12-13 15:32:12 5418 2

原创 ADS学习笔记—1.新建工程

1.打开ADS,进入工作界面在开始菜单里选择:选择执照,可以选择第一个或者第二个,这里我选择第二个:点击OK后就进入了ADS工作界面2.新建一个工作区注意:文件名和保存路径不要用中文(如果用了中文运行时可能会卡死),文件名可以用项目的简称,如LC Filter(LC滤波器),设置好了就点击Creat Workspace这样就创建好了一个工作区:在这个路径下就能找到刚刚保存的:3.新建原理图或者右键单击文件选择:文件名也用英文,这里我设置的含义是9阶低通滤波器,截止频率1

2020-12-13 14:00:30 5324

原创 最近在忙

关于使用ADS最近一直在使用ADS做课设,做完课设又继续做比赛,还是用到ADS,后面我会写一些关于使用ADS的笔记,然后HFSS和CST前面已经写过了,但是我毕设是打算做天线,所以写完ADS专栏还会继续在写一些HFSS和CST的笔记,大概就是写仿真天线这块的。...

2020-11-24 11:29:31 664 4

原创 CST微波工作室学习笔记—16.波导魔T

1.设置工作环境1.设置单位2.背景材料2.创建物体模型X方向的长度为30,Y方向长度75,Z方向为50通过左右旋转生成左臂和右臂,在生成前臂:3.设置波导端口4.设置条件5.求解器设置6.场监视器7.设置端口激励分别设置Port1和Port3为激励源,每设置一次就仿真一次:8.仿真分析9.保存动态图...

2020-08-27 17:02:12 2991 1

原创 HFSS学习笔记—17.超宽带天线(3.3~10.6GHz)

超宽带天线简介天线馈电方式采用 50Ω 微带线进行馈电,天线在 3.3~10.6GHz 频段范围内满足 S11<-10dB,天线辐射方向图为全向,天线介质基板采用选用介质板FR-4,其相对介电常数为4.4,厚度为 h=0.8mm1.设置工作环境2.创建物体模型1.介质板2.微带线![在这里插入图片描述](https://img-blog.csdnimg.cn/20200824162130940.png?x-oss-process=image/watermark,type_Z

2020-08-24 16:42:21 8225 2

原创 HFSS学习笔记—16.圆极化天线(1.6GHz)

圆极化天线简介天线谐振频率为1.6GHz设置工作环境创建物体模型1.导体平面点击Line绘制三角平面,然后镜像生成另一个,运用布尔操作将导体平面和三角平面相减:2.介质层3.馈线4.空气腔5.端口平面和接地平面然后用GND减去Port:边界条件和端口激励1.边界条件选中GND和patch,设置边界条件为:选中空气腔:2.端口激励选中Port:求解设置仿真分析远区场分析...

2020-08-24 15:11:48 5149 1

原创 HFSS学习笔记—15.带通滤波器

带通滤波器通过微带线结构实现,工作频率覆盖5.4GHz-6.2GHz1.设置工作环境2.创建物体模型1.定义变量2.金属板R1、R1_1、R2、R3、R4、R5、R5_1(材料都为cooper):3.微带馈线Feed1、Feed2:(材质:cooper)4.介质层Sub(材料:Rogers RO4350):5.空气腔3.设置波端口和边界条件创建平面,并设置为集总端口:选择介质层底面,设置为理想导体边界条件,空气腔也设置:4.求解设置

2020-08-23 18:26:12 13554 13

原创 HFSS学习笔记—14.波导魔T

1.设置工作环境2.创建物体模型1.创建一个波导的臂arm1:2.通过旋转操作复制出其他的臂:3.将4个臂通过布尔运算合并:3.设置波端口4.求解设置5.仿真分析1.信号从1端口输入,4端口隔离,2和3端口反向等分输出(E面T特征):2.信号从4端口输入,1端口隔离,2和3端口同向等分输出(T面E特征):6.内部场分布...

2020-08-22 16:16:51 4732 4

原创 HFSS学习笔记—13.BLE天线设计

1.设置工作环境2.创建物体模型1.介质层2.微带线设置天线起始位置为(20mm,0,0),S=0.5mm、H=10.5mm、W=3mm、L=4mm3.参考地平面4.空气腔3.设置边界条件微带线:参考地:空气腔:4.设置激励端口绘制平面:设置端口激励:5.求解设置6.仿真分析...

2020-08-22 14:06:57 1399 1

原创 HFSS学习笔记—12.矩形微带贴片天线

矩形微带天线简介一个简单的微带贴片天线的结构,由辐射元、介质层和参考地组成。与天线性能相关的参数包括辐射元的长度L、辐射元的宽度W介质层的厚度h、介质的相对介电常数εr和损耗正切、介质层的长度L和宽度W。矩形贴片微带天线的工作主模式是TM10模,意味着电场在长度L方向上有的改变,而在宽度方向上保持不变,在长度L方向上可以看作成有两个终端开路的缝隙辐射出电磁能量,在宽度w方向的边缘处由于终端开路,所以电压值最大电流值最小天线尺寸本例设计的矩形微带天线工作于ISM频段,其中心频率为2.45G

2020-08-21 17:10:59 21280 11

原创 HFSS学习笔记—11.环形定向耦合器分析

环形定向耦合器简介环形定向耦合器是由周长为3/2个导波波长的闭合圆环和4个输入输出传输线相连接而构成的,与圆环相连接的4根传输线的特征阻抗为Zo ,圆环的特征阻抗为根号二倍Zo,端口①到②、①到④、③到④之间的长度为1/4个导波波长,端口②到③之间的长度为3/4个导波波长当微波信号由端口①输入,端口②、③、④皆接匹配负载时,输入信号功率可以等分成两部分,分别由②、④两个端口输出,端口③无信号输出,①和③两个端口彼此隔离耦合器尺寸设计的环形耦合器使用带状线结构。耦工作频率为4GHz,带状线介质

2020-08-20 20:27:09 8627 6

原创 HFSS学习笔记—10.数据后处理

数据后处理功能求解信息数据(Solution Data):计算时间和占用内存等中总体信息(Profile)、收敛数据数值结果(Results)场分布图(Field Overlays)辐射场(Radiation)和天线性能参数1.求解信息数据(Solution Data)计算时间和占用内存等中总体信息(Profile)收敛数据(Convergence)计算参数矩阵(Matrix Data)网格剖分统计信息(Mesh Statistics)对于本征模求解类型还可以显示:本征频率和品质因

2020-08-20 17:16:36 18432 6

原创 CST微波工作室学习笔记—16.仿真结果保存

2020-08-19 21:43:41 5057 4

原创 HFSS学习笔记—9.Optimetrics设计实例

1.设计要求首先定义两个设计变量width(1mm)和height(0.5mm),分别用于表示微带线的宽度和介质层的厚度。模型底层材质为FR4_epoxy,微带走线材质为copper,最外层材质为vacuum微带线起始点坐标需要使用变量表示,坐标设置为(-8mm,-width/2,height)。分析微带线的特征阻抗随着微带线宽度width和介质层厚度height的变化关系。优化设计的目标是:当工作频率为1GHz时,在保持介质层厚度height=0.5mm不变的情况下,改变微带线宽度width,

2020-08-19 21:24:26 3299 2

原创 HFSS学习笔记—8.Optimetrics模块的使用

Optimetrics功能简介Optimetrics是集成在HFSS中的设计优化模块,该模块通过自动分析设计参数的变化对求解结果的影响HFSS中Optimetrics模块提供如下分析设计功能:参数扫描分析(Parametric ):参数扫描分析功能可以用来分析物体的性能随着指定变量的变化而变化的关系,在优化设计前一般使用参数扫描分析功能来确定被优化变量的合理变化区间优化设计(Optimization):优化设计是HFSS软件结合optimetis模块根据特定的优化算法在所有可能的设计变化中寻找

2020-08-19 17:36:36 14139 3

原创 HFSS学习笔记—7.HFSS中的变量

1.变量的类型1.工程变量(Project Variables)工程变量前面有一个“$”前缀,以区分本地变量工程变量作用区间是整个Project,本地变量作用区间是所在Design物体模型尺寸、物体材料属性等都可以使用工程变量表示工程变量的设置:2.设计变量/本地变量(Local Variables)物体模型尺寸、坐标等都可以使用设计变量表示设计变量的设置:2.变量的定义1.变量名变量名可以由数字、字母、或下划线组成;HFSS中默认的常数(如: pi)、 默认的数学函

2020-08-19 16:51:07 15090 3

原创 HFSS学习笔记—6.求解类型和求解设置2

5.实例操作(T型波导)1.选择求解类型2.求解设置网格大小、网格细化的个数设置:3.扫频设置4.设计检查5.运行仿真分析或者只运行选择的求解设置项:

2020-08-19 15:53:33 1909 4

原创 HFSS学习笔记—6.求解类型和求解设置1

1.选择求解类型1.模式驱动求解(Driven Modal)以模式为基础计算S参数,根据波导内各模式场的入射功率和反射功率来计算S参数矩阵的解2.终端驱动求解(Driven Terminal)以终端为基础计算多导体传输线端口的S参数;此时根据传输线终端的电压和电流来计算S参数矩阵的解3.本征模求解(Eigen mode)主要用于谐振问题的设计分析,可以用于计算谐振结构的谐振频率和谐振频率处对应的场,也可以用于计算谐振腔体的无载Q值本征模求解时不需要设置激励方式、不能定义辐射边界

2020-08-19 15:16:43 9640

原创 HFSS学习笔记—5.激励方式

激励类型波端口(Wave Port)(常用)集总端口(Lumped Port)(常用)Floquet 端口(Floquet Port)Incident Wave(入射波激励)Voltage(电压源激励)Current(电流源激励)Magnetic Bias(磁偏置激励)激励的设置步骤选中三维物体表面或二维平面物体三种方法设置激励函数:主菜单HFSS > Excitation > Assign在三维模型窗口右键选择Assign Excitation右键点击工程树E

2020-08-18 22:26:41 22093 4

原创 HFSS学习笔记—4.边界条件

边界条件类型理想导体边界(Perfect E)理想磁边界/自然边界(Perfect H/Natural)有限导体边界(Finite Conductivity)辐射边界(Radiation)对称边界(Symmetry)阻抗边界(Impedance)集总RLC边界(Lumped RLC)分层阻抗边界条件(Lumped RLC)无限地平面(Infinite Ground Plane)10.主从边界(Master and slave)理想匹配层(PML)1.理想导体边界(Perfect

2020-08-18 20:39:03 17203 2

原创 HFSS学习笔记—3.建模操作3

7.物体模型的几何变换1.移动操作/移动复制操作- 移动操作在坐标系上选择一个点,然后移动鼠标就可以移动物体到指定的位置:- 移动复制操作操作相同:2.旋转操作/旋转复制操作旋转操作旋转复制操作3.镜像操作/镜像复制操作镜像操作鼠标点击坐标系中某一点,移动鼠标镜像:镜像复制操作4.放大/缩小操作offset——将物体模型在XYZ轴同时加宽/减小:5.根据平面生成三维模型(Sweep)创建一个球面后点击:8.物体模型的布尔操作U

2020-08-18 17:29:05 8488

Cir_por_Antenna.aedt

圆极化天线模型以及仿真,打开运行就可以出结果

2021-12-07

SMA-Connector.zip

SMA的端口仿真模型,可以使用HFSS打开直接使用,但是需要设置激励方式

2021-05-26

SMA_Connector.zip

SMA的端口仿真模型,可以使用HFSS打开直接使用,但是需要设置激励方式

2021-05-26

HFSS滤波器谐振器功分器模型.zip

该资源是使用HFSS仿真软件对滤波器,功分器,谐振器将进行建模和仿真,可直接打开使用 111111111

2020-11-23

HFSS DesignFiles.zip

关于HFSS视频里用到的所有HFSS仿真的工程,可以直接使用,使用

2020-11-23

HFSS西电教材.zip

该资源是对HFSS教程的记录

2020-09-21

HFSS-谐振腔.zip

该资源对HFSS仿真软件的一个设计例子进行讲解,详细地讲解了一个谐振器的理论知识,并且在HFSS软件中进行仿真分析

2020-09-21

HFSS-阵列天线.zip

该资源是对HFSS软件的一个例子进行讲解,详细地介绍了阵列天线的理论知识,并且在HFSS软件中有对该例子的仿真分析

2020-09-21

HFSS-环形定向耦合器.zip

该资源对HFSS仿真软件的一个设计实例,对环形定向耦合器进行的详细的理论分析,并且在软件中对该例子进行仿真分析

2020-09-21

HFSS-天线设计实例.zip

该资源是对HFSS仿真软件的一个综合设计,详细解释了天线的理论,并且在HFSS软件中对天线进行建模和仿真

2020-09-21

HFSS-数据后处理.zip

该资源对HFSS仿真软件中的数据后处理模块进行的详细的解释,通过一个实例产生的结果数据进行操作,详细地讲解了数据后处理

2020-09-21

HFSS-变量和Optimetrics模块.zip

该资源是对HFSS仿真软件中的变量和优化设计进行详细的讲解,并且在软件中进行相关的实际操作演示,很详细

2020-09-21

HFSS-求解类型和求解设置.zip

该资源是对HFSS仿真软件中的求解类型和求解设置进行详细的解释,并且在实际操作过程中有实例演示具体的步骤

2020-09-21

HFSS-激励方式.zip

该资源是对HFSS仿真软件中的激励方式进行讲解,对每一种激励方式都有详细的讲解,重点对常用的激励方式进行操作

2020-09-21

HFSS-边界条件.zip

该资源是对HFSS仿真软件中的各种边界条件进行讲解,并且每一种边界条件都有详细解释,重点介绍了常用的几种边界条件的设置

2020-09-21

HFSS-建模操作.zip

该资源是对HFSS仿真软件的建模操作进行讲解,该视频有对各种建模操作的详细举例,并且解释了各个操作的特点等

2020-09-21

HFSS-T型波导.zip

该资源是对T型波导进行建模以及仿真,同时查看T型波导的内场,对T型波导进行参数优化以满足两波导端口的关系

2020-09-21

HFSS-工作界面.zip

该资源包含对HFSS界面的详细介绍,并且视频里有对软件的实际操作演示来介绍各个工作界面的功能,很好的

2020-09-21

HFSS-Project.zip

该资源包括视频中的演示例子的HFSS工程文件,模型和求解设置都已经设置好了,可以直接打开运行工程文件并运行,可供参考

2020-09-02

CST-Project.zip

该资源包括视频中的演示例子的工程文件,模型和求解设置都已经设置好了,可以直接打开运行工程文件并运行,可供参考

2020-09-02

CST天线设计实例.zip

该资源包含对天线的讲解,并且给出半波偶极子天线的分析和使用CST微波工作室对半波偶极子天线的仿真分析,并且讲解查看天线的方向图等

2020-08-16

CST微带线仿真分析.zip

该资源包含使用CST微波工作室进行仿真,对微带线进行理论分析给出一个3dB的定向耦合器作为例子,对该例子进行详细的操作讲解

2020-08-16

CST同轴线仿真分析.zip

该资源包含CST微波工作室对同轴线的仿真分析和优化设计,并且视频讲解中有对实例的具体操作和演示,通俗易懂

2020-08-16

CST参数扫描分析和优化设计.zip

该资源包含CST微波工作室的参数扫描分析和优化设计,并且资源包含讲解视频,有对T型波导实例进行参数扫描分析和优化设计的讲解

2020-08-15

CST微波工作室—求解器.zip

该资源包含对CST微波工作室中求解器(积分方程求解器、时域求解器、频域求解器)的讲解,视频有对软件的实际操作

2020-08-14

CST微波工作室—仿真设置.zip

该资源讲解了CST微波工作室中仿真前,边界条件、背景材料、工作端口和激励源的设置方法,并且视频中有详细的软件实际教程讲解

2020-08-14

CST微波工作室—建模操作.zip

该资源包含CST微波工作室设计建模操作的详解,包括基本操作和高级操作,是对建模操作的细化,视频有对软件的具体操作演示

2020-08-14

CST微波工作室—实例T型波导.zip

该资源包含CST微波工作室的入门讲解和T型波导的实例讲解,非常适合初学者,并且视频有对软件的操作演示

2020-08-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除