Quartus Prime 消除 “Warning (18236): Number of processors has not been specified...” 警告

解决办法

在主程序根目录下的 assignment_defaults.qdf 添加如下内容

set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL

路径

星号为你安装时所选的路径,实在找不到请使用软件查询该文件的具体位置。

assignment_defaults.qdf

Windows
*\quartus\bin64\assignment_defaults.qdf
Linux
*/quartus/linux64/assignment_defaults.qdf

参考链接:https://electronics.stackexchange.com/a/301251

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值