FPGA实验 Verilog 课程 管脚约束配置

1. 在代码中,我们定义了一个模块名为run_led,其中包含了input和output信号以及一个参数TSET。这个模块实现了一个流水灯效果。

2. 在always块内部,我们使用时钟信号CLK_i来控制流水灯的状态变化。当RSTn_i为低电平时,计数器tcnt被清零。在计数器值小于TSET时,计数器递增;当计数器值等于TSET时,led_en变为高电平。

3. 在always块中,根据时钟信号和RSTn_i的状态,我们实现了LED_o信号的更新。当led_en为高电平时,LED_o的值会左移一位并更新。

4. 接下来,我们需要添加管脚约束文件(.xdc文件)来定义FPGA芯片上各个引脚的功能和连接关系。

添加管脚约束文件的步骤如下:

1. 手动新建XDC PIN脚约束文件:

   - 单击添加约束文件(与添加.v文件类似)。

   - 选择“Add or create constraints”,然后点击“NEXT”。

   - 点击“Create File”创建一个新的.xdc文件。

   - 打开提供的例程中的Pin脚定义,并将其粘贴到新建的XDC文件中。

   - 保存文件,生成XDC文件。

2. 综合后添加管脚约束:

   - 打开RTL原理图。

   - 进入管脚配置界面,选择“I/O Planning”。

通过以上步骤,我们可以完善代码并定义FPGA芯片的引脚约束,使得代码能够正确地在FPGA上运行并实现我们所期望的功能。

  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

行者..................

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值