Xilinx_FIFO_Vivado_IP使用

Xilinx_FIFO_Vivado_IP使用

FIFO介绍

FIFO(first in first out)是一个先进先出的存储队列。一般的作用是作为数据的队列通道,让数据暂时缓存,以等待读取。和其他RAM不同的是FIFO没有地址,先入先出。
在FPGA的设计中,不同模块之间的数据接口,尤其是不同时钟系统的各个模块之间的数据接口是系统设计的一个关键。用异步FIFO模块来实现接口,接口双方都在自己的时钟下工作,他们之间不需要互相握手,只需要跟接口FIFO模块进行交互即可向FIFO模块中写入数据或从FIFO模块中读出数据。

FIFO建立

使用FIFO接口是Xilinx公司提供的IP核,经过充分测试和优化,系统运行稳定且占用的FPGA内部资源非常少。
主要步骤如下:(主要针对理解Native端口类型)

(1)在IP Catalog窗口下,输入FIFO进行IP查找
在这里插入图片描述
(2)进入FIFO配置页面
其中该版本的手册,在下一页面Customize IP页面点击Documentation获取,component name对定制IP核命名。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值