vivado编译出错 [Synth 8-729] [Synth 8-787]解决方法

问题:在VIVADO中通过对已有功能的裁剪,生成需要的IP核,并在另一个工程中调用,进一步封装,但出现编译错误,提示缺少IP实例化后的DCP文件。

在这篇中看到了解决的思路
在这里插入图片描述

但按照其中的方法我仍然没有解决,但是在这个我这个信息栏Design Runs提示我有错误,然后按照1,2的步骤做了一下,但其中不要选择Out of context per IP,而是选择Global就可以解决问题了。

现在我的信息栏还是有错误,但是不再是error 729和787,而是我自己的其他问题。
在这里插入图片描述

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
我了解到你提到了一些关于Vivado构建过程中的错误信息和步骤。具体来说,你提到了错误指令"synth 8-2715"。根据引用和引用,在遇到这个错误时,你可以尝试点击"Run Synthesis"或选择正确的"Top module"来解决这个问题。 此外,根据引用所述,Vivado构建过程包括合成设计(synth_design)、优化设计(opt_design)、布局布线(place_design)、物理优化(phys_opt_design)和布线(phys_opt_design)等步骤。每个步骤都有不同的指令或策略,这可能导致一个庞大的搜索空间。 所以,针对你提到的"vivado synth 8-2715"错误,你可以尝试点击"Run Synthesis"或选择正确的"Top module"。如果问题依然存在,你可能需要仔细检查并按照Vivado构建过程的步骤进行操作,确保每个步骤都正确执行。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [Vivado synth 8-439 module““not found问题绝对解决,超简单,想解决问题就看这里。](https://blog.csdn.net/weixin_52904845/article/details/124640006)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [vivado-impl-scripts:有助于自动尝试实施策略和约束,增量优化以及诸如首先路由关键网络之类的脚本](https://download.csdn.net/download/weixin_42114645/16510786)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值