方波中的毛刺处理(Verilog验证)

本文介绍了如何使用Verilog进行方波中的毛刺处理,特别是针对上升沿检测的问题。通过提供测试程序和仿真,展示了如何在有毛刺的情况下正确检测方波的上升沿。建议使用在时钟边沿触发的检测方法来避免毛刺的影响。
摘要由CSDN通过智能技术生成

方波中的毛刺处理(Verilog验证)

             by 阿里

 

参考以下博客:

1.  https://www.cnblogs.com/alifpga/p/9558038.html

    作者:杭州卿萃科技ALIFPGA

 

2. 测试程序如下:

//----------------------------------------------------//

//          rising_edge_detector

//----------------------------------------------------//

`timescale 1ns / 1ps
module rising_edge_detector(
    input I_clk,
    input I_signal,
    output [31:0] O_result1,
    output [31:0] O_result2
    );
    
reg [31:0] R_result1=0;
assign O_result1=R_result1;
reg [31:0] R_result2=0;
assign O_result2=R_result2;

 

always@(posedge I_signal) //这种检测上升沿的方式不能应对有毛刺的方波上升沿检测
begin
    R_result2 <= R_result2+1;
en

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值