【毛刺滤除】滤除小于m个周期的毛刺电路_verilog

心中有电路,verilog呼之欲出。

  1. 滤除小于1个周期的毛刺
    毛刺为高用与门,毛刺为低用或门。
    如下电路图示例为毛刺为低,采用两级DFF同步实现。
    在这里插入图片描述

  2. 滤除大于1个周期小于2个周期的毛刺
    三级同步器,并且将三个D的输出进行相或
    在这里插入图片描述

  3. 滤除小于4个周期的毛刺
    直接进行计数操作。
    边沿检测+计数的方法,检测到上升沿或下降沿说明可能是信号变化或毛刺,检测到边沿变化后计数器清零并开始计数,计数到3说明单bit信号不是毛刺,可以赋值并停止计数;未计数到3说明单bit信号是毛刺信号,不赋值。
    波形图如下:
    在这里插入图片描述

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值