Verilog求次小值与次小值出现的次数

本文提供了一道2022年乐鑫数字IC笔试中的代码题目,要求使用VerilogHDL编写一个模块,该模块在输入数据有效时间段内找到次小值及其出现次数。文中给出了作者的Verilog实现代码及Testbench,通过仿真波形图验证了功能正确性。
摘要由CSDN通过智能技术生成

2022乐鑫数字IC提前批笔试题最后一道代码题

使用 v / s v v/sv v/sv 编写如下功能模块,求输入信号序列 d i n din din d i n _ v l d din\_vld din_vld为高电平的时间段内的次小值与次小值出现的次数。
接口信号如下:
module sec_min(
input clk, //时钟
input rst_n, //复位
input [9:0] din, //10bit 无符号数
input din_vld, //输入数据有效信号
output [9:0] dout, //次小值
ouput [8:0] cnt //次小值出现的次数。溢出时重新计数
);
下面是我自己写的Verilog HDL实现的代码:(附上Testbench)

module minor_cnt(
  input clk,
  input rst_n,
  input [9:0] din,
  input din_vld,
  output [9:0] dout,
  output [8:0] cnt
);
reg [9:0] min1_reg, min2_reg;  //min1_reg是最小值寄存器,min2_reg是次小值寄存器
reg [8:0] min1_cnt_reg, min2_cnt_reg;
always@(posedge clk or negedge rst_n) begin
  if(~rst_n) begin
    min1_reg <= 'd1023;
    min2_reg <= 'd1023;
    min1_cnt_reg <= 9'd0;
    min2_cnt_reg <= 9'd0;
  end
  else if(din_vld) begin
    if(min1_reg>din) begin
      min1_reg <= din;
      min2_reg <= min1_reg;
      min1_cnt_reg <= 9'd1;
      min2_cnt_reg <= min1_cnt_reg;
    end
    else if (min1_reg==din) begin
      min1_cnt_reg <= min1_cnt_reg+1'b1;
    end
    else if(min2_reg>din) begin
      min2_reg <= din;
      min2_cnt_reg <= 9'd1;
    end
    else if(min2_reg == din) begin
      min2_cnt_reg <= min2_cnt_reg+1'b1;
    end

    end
end
assign dout = min2_reg;
assign cnt = min2_cnt_reg;

endmodule

Testbench文件:

module minor_cnt_tb();

reg clk,rst_n;
reg din_vld;
reg [9:0] din;
initial begin
    clk = 0;
    rst_n = 0;
    din = 0;
    din_vld = 0;
    #8 rst_n = 1;
end

initial begin
    wait(rst_n==1);
    din_vld = 1;
    @(posedge clk);
    din = {$random}%10;
    repeat(100) begin 
        @(posedge clk) ;
        din = {$random}%10;
    end
    din_vld = 0;
    repeat(10) begin 
        @(posedge clk) ;
        din = {$random}%10;
    end
    $finish;
end

always #5 clk = ~clk;
wire [8:0] cnt;
wire [9:0] dout;
minor_cnt dut(
  .clk(clk),
  .rst_n(rst_n),
  .din(din),
  .din_vld(din_vld),
  .dout(dout),
  .cnt(cnt)
);

endmodule

有任何问题欢迎指出,谢谢指教~
最后再贴上一张Veridi仿真的波形图:
Verdi仿真

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值