一天一道Verilog编程题(四)

在这里插入图片描述
题目如图所示,话不多说直接上代码。

module filter_data_store(
	input clk,
	input rst_b,
	input req_in,
	output req_in_ack,
	input [31:0]data_in,
	output reg data_out_vld,
	output reg [31:0] data_out
	);
	
	reg [31:0] data_reg;//输入寄存器
	reg [2:0] ptr_w,ptr_r; //FIFO指针
	reg flag;              //输入数据开始寄存信号
	wire full,empty;       //空满标志
	parameter SP=32'h1b9_0000;
	
	//将数据输入寄存器
	always @ (posedge clk or posedge rst_b)
	begin
		if (rst_b)
			data_reg<=0;
		else if (</
  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值