触发器详解——(三)T触发器

本文详细介绍了T触发器的工作原理,包括其在数字计数器中的应用,并通过连接J-K触发器来解释T触发器的构造。此外,文章提供了使用Verilog HDL语言实现T触发器的示例代码,并展示了仿真结果。
摘要由CSDN通过智能技术生成

1、T触发器介绍

T触发器是一种边沿敏感的存储单元。只有一个信号输入端T,在时钟有效边沿到来时,T端输入有效信号,则触发器翻转,否则触发器保持不变。因此T触发器能够实现有效的计数功能,常用于实现数字计数器。T触发器可以由J-K触发器的J,K输入端与T输入端相连得到。J-K触发器见触发器详解——(二)J-K触发器
T触发器逻辑功能为:当T=0时,触发器状态不变Qn+1=Qn;而当T=1时,在脉冲有效边沿到来时翻转。触发器的特性表如表1-1所示:
表1-1:
在这里插入图片描述
由触发器的特性表可以得特性方程以及状态转换图:
在这里插入图片描述
图1-1状态转换图
在这里插入图片描述

2、Verilog HDL语言实现

T触发器

module t
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值