基于virtuoso的环形振荡器仿真全流程(一)

 本文使用的pdk为tsmc18rf,即180nm工艺。

在桌面打开virtuoso,输入如下命令,可更改virtuoso界面文字大小:(建议调成16,不要调太大,小心调不回来)

hiSetFont("ciw" ?size 16)

hiSetFont("text" ?size 16)

hiSetFont("label" ?size 16)

一、PDK的安装

在虚拟机中新建一个文件夹IC,用于安装工艺库。

在文件夹IC中新建一个文件夹lib,用于存放工艺库文件。

将pdk文件夹复制到文件夹IC中,在pdk文件夹中打开命令行,输入ls回车,查看目录;输入perl pdkInstall.pl(安装程序名)回车;输入3回车;输入安装目录(安装目录文件夹即tsmc不要提前建好,不然会报错),回车;再次确认即可安装成功。

二、CDB转OA

在文件夹lib下新建一个文件夹tsmc_oa,用来保存OA格式的库文件。

将tsmc文件夹中的cds.lib复制到文件夹tsmc_oa中,在tsmc_oa文件夹中打开命令行,打开virtuoso,选择tools中的Conversion Toolbox

选第一个选项

选择tsmc_oa文件夹中的cds.lib,选中tsmc18rf,点击OK,静静等待

转换完成后,oa文件夹中就出现了库文件

这时,回到IC文件夹打开命令行,打开virtuoso——Tools——Library Manager,即可看到转换好的元件库

三、反相器原理图的生成与仿真

1.原理图的生成 

在IC文件夹下打开virtuoso,选择File——new——Library,新建项目库,点击OK,再点击OK

在virtuoso中选择File——New——Cell View,输入原理图名称

打开原理图后,按 i 添加元件,按 w 连线,按 l 添加label

最后完成的反相器原理图如下:

该电路结构及参数来源于《低相位噪声环形振荡器的研究与设计》-王晓婷,知网DOI:10.27151/d.cnki.ghnlu.2020.001594

注意:电路图中的所有端口必须用全大写名称,否则在PEX提取寄生参数时会报错。

2.Symbol的生成

在原理图界面工具栏中点击Create——Cellview——From Cellview,点击OK,给引脚选择合适的位置,生成反相器symbol,以便之后调用

3.原理图瞬态仿真

在workIC文件夹下再新建一个测试文件夹,用于反相器的仿真测试

绘制测试原理图:(脉冲波形周期设置为100ns,上升时间1ns)

打开ADE L进行仿真,选择Launch——ADE L

选择Variables——Edit设置变量初始值,也方便后续参数扫描

选择Analyses——Choose,可设置仿真类型,我这里只进行一个瞬态仿真,仿真500ns

选择Output——Setup——From Design,可在原理图中选择要绘制输出结果的端口

对于本例,要查看反相器输出波形,需要用Caculator定义公式,方法如下:

在ADE L中选择Tools——Caculator,点击vt,选择原理图中的VOUT端口,VOUT的电压表达式便会被输入到上方的空白处(buffer)中,再点击buffer下方的绿色箭头,表达式会被保存到buffer下方的Stack中;清空buffer,再次点击vt,选中VOUT-,再将Stack中的VOUT+表达式插入buffer中,即可得到最终结果;此时,点击buffer右上方的齿轮,即可将表达式添加进ADE L的output中

回到ADE L,点击右边的绿色按钮,即可开始仿真,最后得到反相器的输出波形,摆幅1.7V左右

可以看到,这里的输入输出是同相的,没有关系,之后做振荡器的时候只需把最后一个反相器的输出反接到第一个的输入就行了

关闭ADE L,保存仿真设置

4.原理图dc仿真+参数扫描

将原理图中的脉冲输入改为2V直流输入,保存原理图

打开ADE L,选择launch——ADE L,选择Session——Loading State,选择上次保存的仿真设置

添加仿真类型,选择Analyses——Choose,选择dc,选择扫描变量,设置扫描项

勾选相应项,点击run,结果如下

可以看到随着Vbias从0V增加到3V,输出电压((VOUT+)-(VOUT-))越来越大,我之前选择的直流偏置电压为2V,所以瞬态仿真输出波形摆幅为1.7V,两次仿真结果吻合

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值