关于仿真中出现寄存打拍不延迟的情况

在仿真双边沿触发对输入进行两级寄存器打拍的时候,testbench发现,对IO输入的信号,打拍时会直接在当前时钟的上升沿或下降沿寄存成功。

分析发现:1其实与双边沿触发并无关系;2打第二拍是正常延迟;3输入信号是上升沿给出还是下降沿给出也无影响;4用uvm仿真环境也依然如此

最后发现是:在testbench或者testcase中,如果产生了时钟,则输入信号的产生最好是通过时钟赋值实现,而不是直接通过#10ns in = 1; 这样方式给出。如果通过这样的方式,可能时序逻辑就会变成组合逻辑,相当于后续的 always@( posedge clk)的赋值直接变为 assign的效果。 

  • 4
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值