verilog仿真数据不delay打一拍分析

在IC设计verilog仿真过程中很多人可能会遇到,数据不delay现象。

例如:

出现:

这是由于采样的时钟tx_gen_symbol_clk 和数据信号subframe_start之间存在竞争关系导致的。

我们的设计意图是时钟tx_gen_symbol_clk应该采到subframe_start信号的后沿

有人可能会说在代码中加入延迟#1来解决,实际上不推荐这样做,还会存在两个#1的信号同样还会存在竞争。

要搞清楚产生这种问题的原因首先要了解仿真器对于时序仿真的模型。

第4级的时钟不能正常采到第3级输出的数据,但是第2级的时钟能采到第二级时钟打出来的数据(D3),原因就是,仿真器会在寄存器输出的时候添加一个虚拟的延迟δ,虽然这个虚拟延迟我们是看不到的,在仿真器上都是0,但是对于仿真器工作的时候,到遇到always采样事件时先要判断这个δ延迟,决定触发器的采样输出。(当延迟相等时,clk延迟的优先级会比data延迟的优先级高,即clk1能采到D1的前沿, 也就是我们看到的数据不打拍,这跟我们的预期是不一致的),而clk1能采不到D2的前沿,但能采到D2的后沿,这跟我们的预期一样的。这些讨论仅限于前仿,实际器件上我们必须有时序约束来保证建立保持时间,也不会存在这样的问题。但是硬件设计就是要保证仿真和物理器件一致。因此我们要注意这个现象。

 

结论是:在你的TB里时钟都由一个单独的模块产生送给DUT,不管产生多少个时钟,这些时钟保证都经历了n级分频下来的然后送入DUT,这样数据就不会出现不delay的现象。

 

  • 6
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog是一种硬件描述语言,用于描述数字电路和系统的行为和结构。 "Verilog gadget"我理解为你希望了解与Verilog相关的小工具或技巧。以下是一些常用的Verilog工具和技巧: 1. 模拟器:常用的Verilog模拟器有ModelSim、VCS、QuestaSim等,它们可以用于验证设计的正确性和性能等方面。 2. 综合工具:综合工具将Verilog代码转换为门级网表,常用的综合工具有Design Compiler、Genus等。综合工具可以帮助优化设计,并生成用于后续布局和布线的网表。 3. 时序约束:在编写Verilog代码时,时序约束是非常重要的,它用于告诉综合工具和布局布线工具如何处理设计的时序要求。常用的时序约束语言包括SDC(Synopsys Design Constraints)和SDF(Standard Delay Format)。 4. 仿真调试:在进行Verilog代码仿真时,调试是一个重要的环节。常用的调试工具有ModelSim、VCS等,它们提供了丰富的仿真调试功能,例如波形查看、断点设置、变量监视等。 5. 预合成仿真:在进行综合之前,进行预合成仿真可以帮助检查设计中存在的一些问题,例如不合成的语法、时序违规等。常用的预合成仿真工具有RTL Simulator、VCS等。 除了上述的工具和技巧,还有许多其他与Verilog相关的小工具和技术,可以根据具体需求进行选择和使用。希望对你有所帮助!如果你还有其他问题,请随时提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值