UVM Sequence 机制

一、.sequence和sequencer
1.常用方法和宏的定义
        1.将sequence挂载到sequencer上

uvm_sequence::start(“sequecer 句柄”,“上层sequence”,”优先级“,“指定pre_body()和post_body()执行次序”);
一般在顶层test中将顶层sequence挂载到sequencer上,挂载前需要将sequence例化;
        2.将item挂载到sequencer上

uvm_sequence::start_item(“item对象”,“优先级”,“指定item和其parent sequence挂载到的sequencer是否是一个,默认相同”)
uvm_sequence::finish_item(“item对象”,”优先级“)
原文链接:https://blog.csdn.net/SummerXRT/article/details/117752637

二、sequence_item中:

1.创建item —— create_item(bus_trans::get_type(),m_sequencer,“rsp”);
2.发送item—— start_item() 等待获取sequencer的授权许可,立即返回结果;
3.在start_item()和finish_item()之间,对item做随机化;
4.完成发送item——finish_item(),阻塞,等待driver的item_done()返回;
5.如果driver返回rsp,可调用get_response对rsp处理,注意做句柄转换

6.driver 和sequencer通过seq_item_port 和seq_item_export连接
三、driver中:

1.通过TLM端口seq_item_port.get_next_item(REQ),从sequencer获取item;
2.clone() 获取的REQ,生成response item;
3.通过seq_item_port.item_done(RSP),告诉sequence item已经”消化“完毕;
原文链接:https://blog.csdn.net/SummerXRT/article/details/117752637

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值