Verilog HDL数码管动态扫描

本文介绍了使用Verilog HDL设计的数码管动态扫描模块,通过四个子模块实现递增显示。数码管每0.5秒数值加1,模块包括clk_out(生成刷新信号)、clk_s(时钟分频)、getData(生成显示数据)和show(显示数据)。详细代码可在链接中获取。
摘要由CSDN通过智能技术生成

数码管动态扫描


1.概述

本程序实现的是一个递增的数码管显示模块,可供其他模块使用。
模块实现的效果是:四段数码管从0开始,每隔0.5秒数码管显示的数值加1

2.模块设计说明

本实验由5个模块构成,由顶层模块(top)调用其它四个模块实现。

  1. clk_out 模块
    本模块实现的功能是产生数码管刷新信号cp,使数码管每隔4ms刷新一次。
  2. clk_s模块
    分频模块,本模块的主要功能是将板卡上的100兆赫的时钟频率分频成2赫兹作为getData模块的cp信号。
  3. show模块
    将16位的data在四个数码管上显示出来。
  4. getData模块
    产生show模块要显示的16位data数据,其具体实现是每当cp上跳沿来临时加一。
  5. cp模块
    此模块的cp信号为clk_s分频产生的cp信号,并做getData模块的时钟信号

3.代码实现

顶层模块

module top(
            	input Start_Stop,
            	input clk,
            	input clr_,
            	output [3:0]AN,
            	output [7:0]seg
          
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值