数码管动态扫描显示电路设计

 数码管动态扫描显示电路设计

一、实验目的

1. 学习动态显示数码管的使用。

2. 学习数据选择器及其信号分配方法。

二、基本实验内容

用 Verilog HDL 设计一个 4 位数码管动态扫描显示电路,在实验箱的数码管上固定显示 2022。

实验电路框图如下:

watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBAd2VpeGluXzY2MDkyNzg4,size_15,color_FFFFFF,t_70,g_se,x_16

 三、代码实现

顶层模块:

module zwm_1898_10(clk,en,DIG,seg,codeout,Y,Q);
input clk,en;//时钟和使能信号
output [1:0] Q;//计数信号
output [3:0] Y;
output [3:0] DIG;//位选信号
output [6:0] codeout;//七段数码管译码信号
output [3:0] seg;//位选信号
//调用子模块
zwm_1898_10_1 a(clk,Q,en);
zwm_1898_10_2 b(.x(Q),.DIG(DIG),.seg(seg));
zwm_1898_10_3 c(.SEL(Q),.Y(Y));
zwm_1898_10_4 d(.Din(Y),.codeout(codeout));
endmodule

4计数器模块:

  • 7
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值