VHDL 赋值语句、顺序语句与结构描述方式

 

更正:把上面那句话改成“不在意”。

有限状态机:

library ieee;
use ieee.std_logic_1164.all;
entity status is
  port(clk,k:in std_logic;
			situation:out std_logic_vector(1 downto 0));
end status;
architecture rtl of status is
 type state_type is(s0,s1,s2,s3);
 signal state:state_type;
begin 
  one_process:process(k,clk)
begin 
  if(clk'event and clk='1')  then
    case state is
       when s0 => if(k='1') then
                state<=s0;
               else 
                state<=s1;
               end if;
       when s1 => if(k='1') then
                state<=s2;
               else 
                state<=s1;
               end if;
       when s2 => if(k='1') then
                state<=s2;
               else 
                state<=s3;
               end if;
       when s3 => if(k='1') then
                state<=s0;
               else 
                state<=s3;
               end if;
    end case;
  end if;
 end process;
with state SELECT
situation<="00" when s0,
				"01" when s1,
				"10"when s2,
				"11"when s3;
end rtl;

 

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值