【基础入门】(三)多路选择器

module	mux2_1
(
	input	wire	in1	,//输入只能是wire型
	input	wire	in2	,
	input	wire	sel	,
	//输出可以使wire型或者reg型
	//output	wire	out  在assign中赋值(=)使用wire型
	output	reg		out //在always中赋值(<=)要用reg型
);

//assign	out = (sel == 1'b1)? in1:in2;

always@(*)
	if(sel == 1)
		//out <= in1;always块中表达组合逻辑时使用=赋值
		out = in1;
	else
		out = in2;

/*
always@(*)
	case(sel)
		1'b1 : out <= in1;
		1'b0 : out <= in2;
	endcase
*/		
endmodule

if-else和条件运算符综合后的RTL视图 

使用case语句的RTL Viewer

Technology Map Viewer

`timescale 1ns/1ns

module	tb_mux2_1();

reg	in1, in2, sel;
wire	out;

initial	begin

	in1 = 1;
	in2 = 0;
	sel = 1;
end
//在initial和always块中被赋值的变量一定要是reg型
//在testbeech中待测试模块的输入永远是reg型
always #10 in1 <= {$random} % 2;
always #10 in2 <= {$random} % 2;
always #10 sel <= {$random} % 2;


mux2_1	mux2_1_inst
(
	.in1	(in1),
	.in2	(in2),
	.sel	(sel),
	
	.out	(out) //在testbeech中待测试模块的输出永远为wire型
);

endmodule

 

 

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

吾日叁問

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值