解读一个四路组相联cache代码

解读一个四路组相联cache代码

在《计算机组成原理,软硬件接口》中,第五章便是cache的学习。本人初学cache,难免有疏漏之处,源代码github地址:https://github.com/airin711/Verilog-caches

1、四路组相联cache主要特征如下:
  • 使用写分配写回;
  • 块大小为十六字(64字节或1024位);
  • 缓冲内包含2^14个块;
  • 25位地址;
  • cache每个块包含有效位和脏位。
  • Cache块索引为14位;
  • 块内偏移为4位;
  • 标签大小为25-14-2=9位;

其原理图如下,包含命中、修改和失效的判断方法;脏位、有效位和标签的更新方法;字节、比特的选择方法(数据流仅画了一组):

在这里插入图片描述

2、控制信号

处理器与cache之间的控制信号:

信号位数作用
i_p_addr25地址输入
i_p_byte_en4比特选择
i_p_writedata32写数据
i_p_read1读信号
i_p_write1写信号
o_p_readdata32读数据
o_p_readdata_valid1读数据有效位
o_p_waitrequest1等待信号

存储器与cache之间的控制信号:

信号位数作用
o_m_addr26地址输出
o_m_byte_en4比特选择
o_m_writedata128写数据
o_m_read1读信号
o_m_write1写信号
i_m_readdata128读数据
i_m_readdata_valid1读数据有效位
i_m_waitrequest1等待信号
3、在组相联cache中查找所需数据块:

在同一组内的每个cache块的标签都需要比较,判断是否与处理器访问的数据块地址匹配。索引位用来选择访问数据所在的组,该组内所有数据块的标签都需要比较。组相联cache相对于直接映射而已,在于需要更多的比较器,以及由于比较器和选择带来的延迟。

4、失效时的替换方法:

该组相联cache采用最近最少使用(RLU)方法替换数据块。

最近最少使用替换规则:对四路组相联来说,采用额外的存储单元ram_hot,每组有2bit的独热码。若第i组被访问过,则将表示第i组的独热码放在最前面。独热码的初始值及更新规则如下:

在这里插入图片描述

5、状态机简介

在这里插入图片描述

该有效状态机大体可分成三部分:

①、标签比较COMP:该状态检测读或写是命中还是失效。若命中,如果是加载指令就从选择的字中读取数据,如果是存储指令就将数据写入选择的字中,并设置脏位为1;若失效,先更新cache标签,之后如果当前脏位为1,则转跳到写回状态,如果为0,则转跳到分配状态。

②、写返回(WB1、WB2):该状态使用有标签和cache索引组成的地址将128位的块写回存储器。等待存储器写操作完成后,有限状态机跳转到分配状态。

当发生写回时,判断最近最少使用的哪一项对应哪个数据块,将其写回。

if(r_cm_data[1:0] == 2'b00) fetch_write <= 4'b0001;
else if(r_cm_data[1:0] == 2'b01) fetch_write <= 4'b0010;
else if(r_cm_data[1:0] == 2'b10) fetch_write <= 4'b0100;
else if(r_cm_data[1:0] == 2'b11) fetch_write <= 4'b1000;

o_m_addr <= (fetch_write[0]) ? {wb_addr0, 3'b000} :
(fetch_write[1]) ? {wb_addr1, 3'b000} :
(fetch_write[2]) ? {wb_addr2, 3'b000} : {wb_addr3, 3'b000};

o_m_writedata <= (fetch_write[0]) ? readdata0 : 
(fetch_write[1]) ? readdata1 : 
(fetch_write[2]) ? readdata2 : readdata3;

③、分配(FETCH1、FETCH2、FETCH3):从存储器中取出一个新块直接返回给处理器;

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
以下是一个简单的 2 路相联 Cache 的 Verilog 代码示例: ``` module cache( input clk, input rst, input [31:0] addr, input [31:0] data_in, input we, output [31:0] data_out, output hit ); parameter TAG_WIDTH = 20; parameter INDEX_WIDTH = 6; parameter BLOCK_WIDTH = 4; parameter CACHE_SIZE = 64; reg [CACHE_SIZE-1:0] tag_mem_0; reg [CACHE_SIZE-1:0] tag_mem_1; reg [CACHE_SIZE-1:0] data_mem_0; reg [CACHE_SIZE-1:0] data_mem_1; reg [INDEX_WIDTH-1:0] index; reg [TAG_WIDTH-1:0] tag; reg [BLOCK_WIDTH-1:0] block; reg [31:0] data; wire [CACHE_SIZE-1:0] tag_0; wire [CACHE_SIZE-1:0] tag_1; wire [CACHE_SIZE-1:0] data_0; wire [CACHE_SIZE-1:0] data_1; wire hit_0; wire hit_1; // Calculate index, tag, and block from address assign index = addr[INDEX_WIDTH+BLOCK_WIDTH-1:BLOCK_WIDTH]; assign tag = addr[TAG_WIDTH+INDEX_WIDTH+BLOCK_WIDTH-1:INDEX_WIDTH+BLOCK_WIDTH]; assign block = addr[BLOCK_WIDTH-1:0]; // Read from both ways assign tag_0 = tag_mem_0 & (index == {CACHE_SIZE/{(2**(INDEX_WIDTH)))}}'b1); assign tag_1 = tag_mem_1 & (index == {CACHE_SIZE/{(2**(INDEX_WIDTH)))}}'b1); assign data_0 = data_mem_0 & (index == {CACHE_SIZE/{(2**(INDEX_WIDTH)))}}'b1); assign data_1 = data_mem_1 & (index == {CACHE_SIZE/{(2**(INDEX_WIDTH)))}}'b1); assign hit_0 = (tag_0 == tag) ? 1'b1 : 1'b0; assign hit_1 = (tag_1 == tag) ? 1'b1 : 1'b0; // Write to both ways if there is a miss always @(posedge clk) begin if (rst) begin tag_mem_0 <= 'b0; tag_mem_1 <= 'b0; data_mem_0 <= 'b0; data_mem_1 <= 'b0; end else if (we && !hit_0 && !hit_1) begin tag_mem_0[index] <= tag; data_mem_0[index] <= data_in; end else if (we && hit_0 && !hit_1) begin data_mem_0[index] <= data_in; end else if (we && !hit_0 && hit_1) begin tag_mem_0[index] <= tag; data_mem_1[index] <= data_in; end else if (we && hit_0 && hit_1) begin data_mem_0[index] <= data_in; end end // Read from the way that has a hit always @(*) begin if (hit_0) begin data = data_0[index]; end else if (hit_1) begin data = data_1[index]; end data_out = data; hit = hit_0 | hit_1; end endmodule ``` 该代码使用了一些常量参数来定义 Cache 的大小和索引、标记、块的位宽。它还使用了两个标记和数据存储器来存储两个路的标记和数据。在每个时钟周期中,代码使用地址计算索引、标记和块,并从两个路中读取标记和数据。如果命中,则从相应的路中读取数据并设置 hit 信号为 1,否则入数据并设置 hit 信号为 0。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

skyer_lhb

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值