最简单的时序约束文件脚本

最简单的时序约束文件脚本

(1)主时钟的约束
#Crete clock
crete _clock-period 20 [get_port clk];
约束输入为50MHZ全局输入时钟。
(2)PLL时钟的自动约束
#Create Generated clock
derive_pll_clocks;
(3)不确定时间的自动检测
#Set Clock Uncertainty
derive_clock_uncetainty;
//用于交互时钟(inter-clock)、内部时钟(intra-clock)和I/O接口的不确定时钟的自动检测,一般只用在FPGA
器件中。
(4)不希望综合工具进行分析的路径
#set multicycle path
set_false_path-from rst_n -to* ;
//这里指定rst_n为不需要进行综合分析的路径,而复位信号作为全局信号,一般不希望编译对其做出什么
优化,因此不允 许综合工具对其分析。
(5)对于其它的一些约束,用户可以自己添加到SDC时序约束文件中
首先,File-New新建一个SDC文件,输入最基本的时序约束脚本,并保存为ameng_system.sdc。当
然,如果与现成的时序约束文件的备份,则可以直接在“Assignments-Settings”的 “TimeQuest Timing
Analyze”中添加时序约束文件,指定ameng_system.sdc,最后重新进行全编译,此时指剩下某些I/O设置
不完整的警告。

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值