时序分析及约束实操(VIVADO IDE)——建立时间检查


目录

前言

1、知识回顾

2、实际操作

2.1 工程相关配置

2.2 模块源码

2.3 建立IO约束

2.4 建立时序约束

2.4.1 主时钟

参考说明



前言

《Tcl&STA》专栏主要是学习了Tcl一些基本语法以及STA各种理论知识,包括时序分析的目的和各种概念,以及时序报告的分析等等。但是总有一个问题感觉悬而未决:如何确定我需要的约束条件并且具体的约束步骤是什么?具体的实际操作需要注意什么?检查什么?

真正到一个项目上,还是会手忙脚乱,不知要从何做起。也就是大家调侃的“理论满分,实操零分”。可以和别人侃侃而谈理论要点,做题目也不会有什么问题,但是遇到项目实际做的时候就会一头雾水。

此专栏就是立足于实际项目进行时序分析和约束的学习,此专栏将会一直和我的学习进度同步,加油吧,各位 IC 人!

建议PC或Pad端食用~


1、知识回顾

建立时间:时钟上升沿到达之前,数据必须保持稳定的最小时间。

建立时间裕量:数据到达时间和数据需求时间的差值。

数据实际到达时间:

  • 9
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值