HDLbits 刷题 --Exams/m2014 q4g

这篇文章介绍了如何在Verilog语言中实现一个基本的逻辑电路模块,通过异或和同或运算来连接输入(in1,in2)并输出(out),展示了异或运算的公式out=in1^in2和同或取反的公式out=~(in1^in2)。
摘要由CSDN通过智能技术生成

Implement the following circuit:

实现以下电路

module top_module (
    input in1,
    input in2,
    input in3,
    output out);
    assign out = (~(in1^in2))^in3;
endmodule

运行结果:

分析:

        同或: out = ~(in1 ^ in2);  异或取反

        

        异或: out = in1 ^ in2 ;

                ​​​​​​​

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

刚及格的陆拾伍

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值