算术逻辑运算单元ALU的串行和并行加法器

本文深入探讨了串行加法器和并行加法器的工作原理,包括全加器的真值表、补码加法运算以及逻辑电路图的表示。串行加法器由于进位信号的串行传递导致计算速度受限,而并行加法器通过同时处理多位进位信号提高了计算效率,但随着位数增加,逻辑电路复杂度也随之增加。CLA(Carry-Lookahead Adder)加法器则通过分组进位来解决这一问题,实现了更快的加法运算。
摘要由CSDN通过智能技术生成

串行加法器

  • FA指的是加法器

全加器真值表

在这里插入图片描述

Si = AI ⊕ Bi (⊕ 代表异或运算)
Ci+1 = AIBI + BIC! + CIAI = AIBi + (AI ⊕ BI) (AIBI 代表与运算)

补码加法运算

对照上面的表

在这里插入图片描述

串行全加器图

在这里插入图片描述

引入问题:当加法运算个数太多时,下一位的全加器必须等待上一位的全加器的进位信息C,才能进行接下来的运算,这种串行加法器的设计会影响计算速度

逻辑电路图:
在这里插入图片描述

表达式

Ci = AiBi + (A ⊕ B)CI-1
Fi = Ai ⊕ BI ⊕ CI (Fi代表 i 位的和)


并行加法器

表达式

Ci = AiBi + (A ⊕ B)CI-1
Fi = Ai ⊕ BI ⊕ CI (Fi代表 i 位的和)
在这里插入图片描述
转换:
GI = AiBI (G 是进位发生输出)
PI = AI ⊕ BI (P 是进位传送输出)
CI = AIBi + (AIBi)Ci-1 = Gi + PiCi-1 (Gi + PiCi-1进行或运算)

在这里插入图片描述

逻辑电路图和逻辑运算一一对应

并行加法器

在这里插入图片描述

并行加法器逻辑电路图

在这里插入图片描述

引入问题:从图中我们可以看出各个加法器的进位信号同时形成,同时形成,弥补了串行加法器等待进位信号的缺点,但是会形成一个问题当Ci的 i值足够大时,逻辑表达式就会越来越复杂,从而造成逻辑电路越复杂

CLA加法器

为了解决上面的问题,我们引入了CLA加法器

在这里插入图片描述

	 它是由4个FA和一些新的线路、运算逻辑组成

在这里插入图片描述

引入问题:组内加法器并行,进位是同时进行的,但是组间的进位信息还是串行的

在这里插入图片描述
转换:

P* = P4P3P2P1 (P* 是成组进位发生输出)
G* = G4 + P4G3 + P4P3G2 + P4P3P2G1 (P* 是成组进位传送输出)

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值