基本除法器的Verilog HDL实现

本文介绍了如何使用Verilog HDL实现32位无符号数的除法运算。通过将被除数和除数扩展至64位并进行移位比较,逐步计算出商和余数。文中提供了具体的实现步骤和示例代码,适合初学者学习。
摘要由CSDN通过智能技术生成

 

 

 

 


主题

使用Verilog HDL 实现最基本的除法运算。

问题分析

以32位除法器为例,介绍其verilog语言实现的原理

首先个人认为该方法是受到除法手工计算方法的启发。对于32位的无符号数,其商和余数的位数都不会超过32位,首先将被除数a扩展为64位的temp_a = {32'h0000,a},将除数b扩展为64位的temp_b ={b,32'h0000}。运算过程为:首先将temp_a左移一位,低位补零之后与temp_b比较大小,如果移位之后 temp_a < temp_b,则temp_a不变,进入下一轮的移位与比较;如果temp_a >= temp_b,则本次比较的结果应该是商为1(二进制),余数为temp_a - temp_b,将商的值计录到temp_a的第0位(此前temp_a左移了一位,第0位为0),更新temp_a 的值为 temp_a - temp_b + 1'b1。至此第一轮的移位与比较结束,由于是32位二进制数,需要进行32轮的移位与比较。最终的结果是temp_a的高32位是余数,低32位是商。

代码如下(示例):

  • 9
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值