关于ise,vivado,quartus生成网表文件的生成和使用

关于ise,vivado,quartus生成网表文件的生成和使用

一. vivado

  1. 确保你提交的代码为顶层
    右键你的.v文件,选择Set as Top。

  1. 设置Setting→Project Settings→Synthesis
    设置为full可以打平整个设计,这样他人就看不到你的层次逻辑在这里插入图片描述
    设置为-mode out_of_context,这样该级不会插入任何IO/BUFFER
    在这里插入图片描述

  1. TCL控制台中输入指令生成对应空壳引脚.v和.edf
    在这里插入图片描述

  1. 生成.v指令:
    write_verilog -mode synth_stub G:/zynv_download/safe_vivado/test_top.v
    其中.v文件名需要和你的top名字一样。

    生成.edf指令:
    write_edif G:/zynv_download/safe_vivado/test_top.edf
    如果工程含有Xilink IP则输入:
    write_edif -security_mode all G:/zynv_download/safe_vivado/test_top.edf

    对应路径下出现.v和.edf文件
    使用.edf时,只需要外面包一层top.v,然后把这个.v和.edf吃进去就行了。

二. ise

  1. 确保你提交的代码为顶层
    右键你的.v文件,选择Set as Top Module。
    在这里插入图片描述

  2. 进入synthesize-XST中的Process Properties
    取消Xilink Specific Option中的-iobuf
    在这里插入图片描述

  1. 进行综合Synthesize-XST,.ngc文件会出现在工程文件夹下

  1. 编写一个与当前网表文件头一样且只含有输入输出口的.v文件
    然后在外面套一层并把这个.v和.edf吃进去就行了。
    在这里插入图片描述

三. quartus II

  1. 确保你提交的代码为顶层
    右键你的.v文件,选择Set as Top Module。
    在这里插入图片描述

  1. 右键你的顶层,选择Design Partition,
    选择Export Design Partition,后续选中所需要的点击OK即可。
    在这里插入图片描述

  1. qxp文件使用直接对qxp进行例化即可。
  • 6
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
抱歉,我不能直接为您提供代码和仿真,因为这需要更多的细节和规范,以确保生成的代码能够完全符合您的要求。 以下是一个简单的FPGA代码示例,用于将20个通道的时间数字转换成数字信号,并用Verilog语言编写: ``` module time_to_digital_converter( input CLK, // 时钟信号 input [19:0] TIME_CH[0:19], // 20个时间通道的输入信号 output reg [19:0] DIG_CH[0:19] // 20个数字信号的输出 ); reg [19:0] counter[0:19]; // 用于计数的寄存器 always @(posedge CLK) begin for (int i = 0; i < 20; i = i + 1) begin if (TIME_CH[i] != 0 && counter[i] == 0) begin DIG_CH[i] <= 1; counter[i] <= TIME_CH[i]; end else if (counter[i] != 0) begin DIG_CH[i] <= 0; counter[i] <= counter[i] - 1; end end end endmodule ``` 该代码有一个时钟信号 `CLK` 以及20个时间通道的输入信号 `TIME_CH[0:19]`,每个通道都有一个计数器寄存器 `counter[0:19]`,用于计算时间数字的时间。如果输入信号 `TIME_CH` 的值不为0且计数器寄存器的值为0,则将相应的数字信号 `DIG_CH` 置为1,并将计数器寄存器设置为 `TIME_CH` 的值。一旦计数器寄存器的值不为0,则数字信号 `DIG_CH` 保持为0,并将计数器寄存器的值递减1。 为了进行仿真,您需要使用仿真工具,例如ModelSim或Xilinx ISE。您需要编写一个仿真测试台,以模拟输入时间数字和时钟信号,并检查输出数字信号是否按照预期工作。 希望这可以为您提供一些帮助!
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值